Analysis of Random Variations and Variation-Robust Advanced Device Structures

논문상세정보
' Analysis of Random Variations and Variation-Robust Advanced Device Structures' 의 주제별 논문영향력
논문영향력 선정 방법
논문영향력 요약
주제
  • 전자공학
  • cmos
  • fd-soimosfet
  • finfet
  • junctionlessmosfet
  • mosfet
  • random variation
  • segfet
  • tri-gatemosfet
  • variability
동일주제 총논문수 논문피인용 총횟수 주제별 논문영향력의 평균
2,635 0

0.0%

' Analysis of Random Variations and Variation-Robust Advanced Device Structures' 의 참고문헌

  • Variability impact of random dopant fluctuation on nanoscale junctionless FinFETs
    G. Leung IEEE Electron Device Lett. 33 (6) : 767 ~ 769 [2012]
  • Tri-gate bulk MOSFET design for CMOS scaling to the end of the roadmap
    X. Sun IEEE Electron Device Letters 29 (5) : 491 ~ 493 [2008]
  • Three-dimensional "atomistic" simulation of discrete random dopant distribution effects in sub-0.1 μm MOSFETs
    H.-S. Wong Proc. IEEE IEDM : 705 ~ 708 [1993]
  • The design optimization and variation study of segmented-channel MOSFET using HfO2 or SiO2 trench isolation
    H. Nam Proc. IEEE VLSI-TSA : 22 ~ 24 [2013]
  • Study of random-dopant-fluctuation (RDF) effects on the trigate bulk MOSFETs
    C. Shin IEEE Transactions on Electron Devices 56 (7) : 1538 ~ 1542 [2009]
  • Study of high-k/metal-gate work-function variation using Rayleigh distribution
    H. Nam IEEE Electron Device Letters 34 (4) : 532 ~ 535 [2013]
  • Study of high-k/metal-gate work function variation in FinFET: the modified RGG concept
    H. Nam IEEE Electron Device Letters 34 (12) : 1560 ~ 1562 [2013]
  • Study of discrete doping induced variability in junctionless nanowire MOSFETs using dissipative quantum transport simulations
    M. Aldegunde IEEE Electron Device Lett. 33 (2) : 194 ~ 196 [2012]
  • Strain engineered extremely thin SOI (ETSOI) for high-performance CMOS
    A. Khakifirooz Proc. VLSI Symp. Technol. : 117 ~ 118 [2012]
  • Statistical threshold-voltage variability in scaled decananometer bulk HKMG MOSFETs: a fullscale 3-D simulation scaling study
    X. Wang IEEE Transactions on Electron Devices 58 (8) : 2293 ~ 2301 [2011]
  • Simulation of statistical variability in nano MOSFETs
    A. Asenov Proc. VLSI Symp. Technol. : 86 ~ 87 [2007]
  • Segmented-channel Si1−xGex/Si pMOSFET for improved ION and reduced variability
    B. Ho Proc. VLSI Symp. Technol. : 167 ~ 168 [2012]
  • Role of long-range and short-range coulomb potentials in threshold characteristics under discrete dopants in sub-0.1 um Si-MOSFETs
    N. Sano Proc. IEEE IEDM : 275 ~ 278 [2000]
  • Reducing variation in advanced logic technologies: Approaches to process and design for manufacturability of nanoscale CMOS
    K. J. Kuhn Proc. IEEE IEDM : 471 ~ 474 [2007]
  • Random dopant induced threshold voltage lowering and fluctuations in sub-0.1 μm MOSFETs: A 3-D “atomistic” simulation study
    A. Asenov IEEE Transactions on Electron Devices 45 (12) : 2505 ~ 2513 [1998]
  • Quasi-planar bulk CMOS technology for improved SRAM scalability
    C. Shin Solid-State Electronics 65-66 : 184 ~ 190 [2011]
  • Quasi-planar bulk CMOS technology for 6-T SRAM at the 22-nm node
    C. Shin IEEE Transactions on Electron Devices 58 (7) : 1846 ~ 1854 [2011]
  • Poly-Si-Gate-related variability in decananometer MOSFETs with conventional architecture
    A. R. Brown IEEE Transactions on Electron Devices 54 (11) : 3056 ~ 3063 [2007]
  • Performance estimation of junctionless multigate transistors
    C. W. Lee Solid-State Electronics 54 (2) : 97 ~ 103 [2010]
  • Performance and area scaling benefits of FD-SOI technology for 6-T SRAM cells at the 22-nm node
    C. Shin IEEE Transactions on Electron Devices 57 (6) : 1301 ~ 1309 [2010]
  • Nanowire transistors without junctions
    J. P. Colinge Nature Nanotechnology 5 : 225 ~ 229 [2010]
  • Matching properties of MOS transistors
    M. J. M. Pelgrom IEEE Journal of Solid-State Circuits 24 (5) : 1433 ~ 1440 [1989]
  • Large-scale SRAM variability characterization in 45 nm CMOS
    Z. Guo IEEE J. Solid-State Circuits 44 (11) : 3174 ~ 3192 [2009]
  • Intrinsic parameter fluctuations in decananometer MOSFETs introduced by gate line edge roughness
    A. Asenov IEEE Transactions on Electron Devices 50 (5) : 1254 ~ 1260 [2003]
  • International Technology Roadmap for Semiconductors (ITRS)
  • Increase in the random dopant induced threshold fluctuations and lowering in sub-100 nm MOSFETs due to quantum effects: a 3-D density-gradient simulation study
    A. Asenov IEEE Transactions on Electron Devices 48 (4) : 722 ~ 729 [2001]
  • Impact of using doublepatterning versus single patterning on threshold voltage (VTH) variation in quasi-planar tri-gate bulk MOSFETs
    C. Shin IEEE Electron Device Letters 34 (5) : 578 ~ 580 [2013]
  • Impact of additional factors in threshold voltage variability of metal/high-k gate stacks and its reduction by controlling crystalline structure and grain size in the metal gates
    K. Ohmori Proc. IEEE IEDM : 409 ~ 412 [2008]
  • High-performance CMOS variability in the 65-nm regime and beyond
    K. Bernstein IBM Journal of Research and Development 50 (4.5) : 433 ~ 449 [2006]
  • Grain-orientation induced work function variation in nanoscale metal-gate transistors – Part I:modeling, analysis, and experimental validation
    H. F. Dadgour IEEE Transactions on Electron Devices 57 (10) : 2504 ~ 2514 [2010]
  • Fabrication of Si1−xGex/Si pMOSFETs Using Corrugated Substrates for Improved ION and Reduced Layout-Width Dependence
    B. Ho IEEE Trans. Electron Devices 60 (1) : 153 ~ 158 [2013]
  • Electrical characteristics of 20-nm junctionless Si nanowire transistors
    C. H. Park Solid-State Electronics 73 : 7 ~ 10 [2012]
  • Effect of double-patterning and double-etching on the line-edge-roughness of multi-gate bulk MOSFETs
    I. J. Park IEICE Electronics Express 10 (5) : 20130108 ~ [2013]
  • Discrete dopant fluctuations in 20-nm/15-nm-gate planar CMOS
    Y. Li IEEE Transactions on Electron Devices 55 (6) : 1449 ~ 1455 [2008]
  • 박사
  • Design of ion-implanted MOSFET’s with very small physical dimensions
    R. H. Dennard IEEE Journal of Solid-State Circuits 9 (5) : 256 ~ 268 [1974]
  • Cramming more components onto integrated circuits
    G. E. Moore Proceeding of the IEEE 86 (1) : 82 ~ 85 [1965]
  • Comparative study in workfunction variation: Gaussian vs. Rayleigh distribution for grain size
    H. Nam IEICE Electronics Express 10 (9) : 20130109 ~ [2013]
  • Characterization of amorphous and crystalline rough surface: principles and applications
    Y. Zhao Academic Press [2001]
  • Analysis of threshold voltage distribution due to random dopants: A 100,000-sample 3-D simulation study
    D. Reid IEEE Transactions on Electron Devices 56 (10) : 2255 ~ 2263 [2009]
  • A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, selfaligned contacts and high density MIM capacitors
    C. Auth Proc. VLSI Symp. Technol. : 131 ~ 132 [2012]