3D 패키징을 위한 Scallop-free TSV와 Cu Pillar 및 하이브리드 본딩

논문상세정보
' 3D 패키징을 위한 Scallop-free TSV와 Cu Pillar 및 하이브리드 본딩' 의 주제별 논문영향력
논문영향력 선정 방법
논문영향력 요약
주제
  • Scallop-free
  • Three dimensional packaging
  • Through-Si-Via (TSV)
  • cubonding
동일주제 총논문수 논문피인용 총횟수 주제별 논문영향력의 평균
13 0

0.0%

' 3D 패키징을 위한 Scallop-free TSV와 Cu Pillar 및 하이브리드 본딩' 의 참고문헌

  • 수치해석을 이용한 구리기둥 범프 플립칩 패키지의 열압착 접합 공정 시 발생하는 휨 연구
    권오영 [2017]
  • Wafer-towafer hybrid bonding development by advanced finite element modeling for 3-D IC packages
    F. X. Che [2020]
  • Vertical silicon etching by using an automatically and fast-controlled frequency tunable rf plasma source
    K. Hanaoka [2021]
  • Ultrahigh aspect ratio etching of silicon in SF6-O2 plasma : The clear-oxidize-remove-etch(CORE)sequence and chromium mask
  • Ultrafinepitch C2 flip chip interconnections with solder-capped Cu pillar bumps
    Y. Orii [2009]
  • Two cryogenic processes involving SF6, O2, and SiF4 for silicon deep etching
  • Tutorial on forming through-silicon vias
  • Through silicon via (TSV) scallop smoothening technique
    G. H. Wong [2014]
  • Thermal-mechanical reliability assessment of TSV structure for 3D IC integration
    H. Liu [2016]
  • The characteristics of Cu nanopaste sintered by atmospheric-pressure plasma
    K. S. Kim [2013]
  • TSV형성을 위한 DRIE 기술
    백규하 [2009]
  • TSV Cu filling failure modes and mechanisms causing the failures
    J. W. Choi [2014]
  • Size-dependent melting properties of Sn nanoparticles by chemical reduction synthesis
    C. Zou [2010]
  • Size and Shape Dependence on Melting Temperature of Gallium Nitride Nanoparticles
  • Reproducibility of a plasma production in a fast-and automatically-controlled radio frequency plasma source
    K. Hanaoka [2020]
  • Recent developments in fine pitch wafer-to-wafer hybrid bonding with copper interconnect
    J. A. Theil [2019]
  • Recent advances and trends in advanced packaging
    J. H. Lau [2022]
  • Plasma Etching Technology for Advanced Packaging to Lead Moore’s Law(in Japanese)
    Y. Morikawa [2022]
  • Pillar connections for semiconductor chips and method of manufacture
  • Next generation fine pitch Cu Pillar technology—Enabling next generation silicon nodes
    M. Gerber [2011]
  • Microstructure evolution and grain orientation of IMC in Cu-Sn TLP bonding solder joints
    L. Sun [2019]
  • Low-Temperature Wafer-to-Wafer Hybrid Bonding by Nanocrystalline Copper
    W. L. Chiu [2022]
  • Low-Temperature Cu/SiO2 Hybrid Bonding with Low Contact Resistance Using(111)-Oriented Cu Surfaces
    J. J. Ong [2022]
  • Low temperature Cu/SiO2 hybrid bonding with metal passivation
    D. Liu [2020]
  • Low temperature Cu interconnect with chip to wafer hybrid bonding
    G. Gao [2019]
  • Intermetallic Formation of Copper Pillar With Sn–Ag–Cu for Flip-Chip-On-Module Packaging
    M. Huang [2008]
  • Influence of Bosch etch process on electrical isolation of TSV structures
  • High-speed electrodeposition for Cu pillar fabrication and Cu pillar adhesion to an Ajinomoto build-up film(ABF)
    P. T. Lee [2021]
  • High-aspect-ratio deep Si etching in SF 6/O 2 plasma. II. Mechanism of lateral etching in high-aspect-ratio features
    T. Maruyama [2010]
  • Grain structure analysis of Cu/SiO 2 hybrid bond interconnects after reliability testing
  • Generational changes of flip chip interconnection technology
    W. S. Tsai [2017]
  • Electrical and mechanical analysis of different TSV geometries
    I. H. Jeong [2020]
  • Effects of etch rate on scallop of through-silicon vias (TSVs) in 200mm and 300mm wafers
    Y. C. Hsin [2011]
  • Development of novel joint resistance modeling technique for flip chip interconnection systems
    A. Yeo [2006]
  • Development of next generation flip chip interconnection technology using homogenized laser-assisted bonding
    Y. Jung [2016]
  • Development of Metallization Process for Fine Pitch TSV
    G. Hwang [2021]
  • Design of Cu nanoaggregates composed of ultra-small Cu nanoparticles for Cu-Cu thermocompression bonding
    J. J. Li [2019]
  • Cu-SiO2 하이브리드 본딩
    서한결 [2020]
  • Cryogenic etching of silicon : an alternative method for fabrication of vertical microcantilever master molds
  • Cryogenic etching of nano-scale silicon trenches with resist masks
    Y. Wu [2011]
  • Copper pillar bump design optimization for lead free flip-chip packaging
    K. M. Chen [2010]
  • Control of sidewall slope in silicon vias using SF 6⁄ O 2plasma etching in a conventional reactive ion etching tool
  • Comparative study of side-wall roughness effects on leakage currents in through-silicon via interconnects
    T. Nakamura [2012]
  • Combined surface activated bonding technique for low-temperature Cu/dielectric hybrid bonding
    R. He [2016]
  • Black silicon method X : a review on high speed and selective plasma etching of silicon with profile control : an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment
  • Atomic insights of Cu nanoparticles melting and sintering behavior in Cu-Cu direct bonding
    R. Wu [2021]
  • Advances toward reliable high density Cu-Cu interconnects by Cu-SiO2 direct hybrid bonding
  • A novel scallop free TSV etching method in magnetic neutral loop discharge plasma
    Y. Morikawa [2012]
  • 3차원 실장을 위한 TSV의 Cu 전해도금 및 로우알파 솔더 범핑
    정도현 [2015]