Study on the Thermal Transient Response of TSV Considering the Effect of Electronic-Thermal Coupling

논문상세정보
' Study on the Thermal Transient Response of TSV Considering the Effect of Electronic-Thermal Coupling' 의 주제별 논문영향력
논문영향력 선정 방법
논문영향력 요약
주제
  • 전자공학
  • electronic-thermalcoupling
  • thermal equivalent circuit
  • thermal equivalent parameters
  • thermal transient response
  • tsv
동일주제 총논문수 논문피인용 총횟수 주제별 논문영향력의 평균
2,337 0

0.0%

' Study on the Thermal Transient Response of TSV Considering the Effect of Electronic-Thermal Coupling' 의 참고문헌

  • Through-Silicon Vias for 3D Integration
    Lau J H McGraw-Hill [2012]
  • Three Dimensional System Integration
    Papanikolaou, A Springer [2011]
  • Thermal analysis of 3D packaging with a simplified thermal resistance network model and finite element simulation
    Chen Z Electronic Packaging Technology & High Density Packaging (ICEPT-HDP), 2010 11th International Conference on IEEE : 737 ~ 741 [2010]
  • Thermal Management of 3D Integrated Circuits Considering Horizontal Heat Transfer Effect
    Yan, Z Chinese Journal of Computational Physics 30 (5) : 753 ~ 758 [2013]
  • TSV manufacturing yield and hidden costs for 3D IC integration
    Lau J H Electronic Components and Technology Conference (ECTC), 2010 Proceedings 60th. IEEE : 1031 ~ 1042 [2010]
  • Study on the crosstalk characteristic of non–ideal interconnect structure
    Shang, Yuling International Journal of Materials and Structural Integrity 7 (1) : 144 ~ 159 [2013]
  • Numerical investigation on the thermal reliability and layout optimization of printed circuit board level
    Xi, T International Journal of Materials and Structural Integrity 6 (2) : 309 ~ 318 [2012]
  • Multiphysics Characterization of Transient Electrothermomechanical Responses of Through-Silicon Vias Applied With a Periodic Voltage Pulse
    Wang X P Electron Devices, IEEE Transactions on 57 (6) : 1382 ~ 1389 [2010]
  • Modelling of through silicon via RF performance and impact on signal transmission in 3D integrated circuits
    Cadix L 3D System Integration, 2009. 3DIC 2009. IEEE International Conference on. IEEE : 1 ~ 7 [2009]
  • Investigations on the thermal behavior of interconnects under ESD transients using a simplified thermal RC network
    Salome P Microelectronics Reliability 39 (11) : 1579 ~ 1591 [1999]
  • High RF performance TSV silicon carrier for high frequency application
    Ho S W Electronic Components and Technology Conference, 2008. ECTC 2008. 58th. IEEE : 1946 ~ 1952 [2008]
  • Fault Modeling and Multi-Tone Dither Scheme for Testing 3D TSV Defects
    Kannan, S Journal of Electronic Testing 28 (1) : 39 ~ 51 [2012]
  • Experimental thermal resistance evaluation of a three-dimensional (3D) chip stack, including the transient measurements
    Matsumoto K Semiconductor Thermal Measurement and Management Symposium (SEMITHERM), 2012 28th Annual IEEE : 8 ~ 13 [2012]
  • Experimental approach and evaluation on dynamic reliability of PBGA assembly
    Yang, Ping Electron Devices, IEEE Transactions on 56 (10) : 2243 ~ 2249 [2009]
  • Evolution, challenge, and outlook of TSV, 3D IC integration and 3D silicon integration
    Lau J H Advanced Packaging Materials (APM), 2011 International Symposium on IEEE : 462 ~ 488 [2011]
  • Evolution and outlook of TSV and 3D IC/Si integration
    Lau, John H Electronics Packaging Technology Conference, 2010, EPTC, 12th. IEEE : 560 ~ 570 [2010]
  • Electrical-thermal modeling of through-silicon via (TSV) arrays in interposer
    Xie J Y International Journal of Numerical Modelling 26 (6) : 545 ~ 559 [2013]
  • Electrical modeling and characterization of through silicon via for three-dimensional Ics
    Katti G Electron Devices, IEEE Transactions on : 256 ~ 262 [2010]
  • Effect of temperature and voltage on LED luminaries reliability
    Liu, D. J International Journal of Materials and Structural Integrity 6 (2) : 270 ~ 283 [2012]
  • Effect of TSV interposer on the thermal performance of FCBGA package
    Hoe Y Y G Electronics Packaging Technology Conference, 2009. EPTC'09. 11th. IEEE : 778 ~ 786 [2009]
  • Development and evaluation of 3-D SiP with vertically interconnected through silicon vias (TSV)
    Jang, Dong Min Electronic Components and Technology Conference, 2007, ECTC'07, Proceedings. 57th. IEEE : 847 ~ 852 [2007]
  • Designing TSVs for 3D Integrated Circuits
    Khan, N Springer [2012]
  • An interconnect energy model considering coupling effects
    Uchino T Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions 21 (7) : 763 ~ 776 [2002]
  • An analytical thermal model for 3D integrated circuit considering through silicon via
    Zhu, Z Chinese Journal of Physics 60 (11) : 118401 ~ 118406 [2011]
  • Accurate analysis of interconnect trees with distributed RLC model and moment matching
    Li X C Microwave Theory and Techniques, IEEE Transactions on 52 (9) : 2199 ~ 2206 [2004]
  • A fundamental approach to transformer thermal modeling. I. Theory and equivalent circuit
    Swift, G Power Delivery, IEEE Transactions on 16 (2) : 171 ~ 175 [2001]
  • 3D heterogeneous integrated systems: liquid cooling, power delivery, and implementation
    Bakir, Muhannad S Custom Integrated Circuits Conference, IEEE : 663 ~ 670 [2008]