DRAM Device Modeling and Applications for Cryogenic Computing = 극저온 컴퓨팅을 위한 DRAM Device 모델링 및 응용

이규현 2022년
논문상세정보
' DRAM Device Modeling and Applications for Cryogenic Computing = 극저온 컴퓨팅을 위한 DRAM Device 모델링 및 응용' 의 주제별 논문영향력
논문영향력 선정 방법
논문영향력 요약
주제
  • 응용 물리
  • Cryogenic computing
  • Low-power design
  • Memory
  • Modeling
  • Simulation
  • Temperature-aware design
  • dram
  • emergingtechnologies
동일주제 총논문수 논문피인용 총횟수 주제별 논문영향력의 평균
6,357 0

0.0%

' DRAM Device Modeling and Applications for Cryogenic Computing = 극저온 컴퓨팅을 위한 DRAM Device 모델링 및 응용' 의 참고문헌

  • Z. B. Aweke, S. F. Yitbarek, R. Qiao, R. Das, M. Hicks, Y. Oren, and T. Austin, ANVIL: Software-based protection against next-generation rowhammer attacks, ACM SIGPLAN Notices, vol. 51, no. 4, pp. 743–755, 2016.
    [2016]
  • Y. Kim, R. Daly, J. Kim, C. Fallin, J. H. Lee, D. Lee, C. Wilkerson, K. Lai, and O. Mutlu, Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors, ACM SIGARCH Computer Architecture News, vol. 42, no. 3, pp. 361–372, 2014.
  • Y. Iwasa, Case studies in superconducting magnets: design and operational issues. Springer Science & Business Media, 2009.
    [2009]
  • Y. Aiba, H. Tanaka, T. Maeda, K. Sawa, F. Kikushima, M. Miura, T. Fujisawa, M. Matsuo, and T. Sanuki, Cryogenic operation of 3d flash memory for new applications and bit cost scaling with 6-bit per cell (hlc) and beyond, in 2021 5th IEEE Electron Devices Technology Manufacturing Conference (EDTM), 2021, pp. 1–3.
  • Y. Aiba, H. Tanaka, T. Maeda, K. Sawa, F. Kikushima, M. Miura, T. Fujisawa, M. Matsuo, H. Horii, H. Mukaida, and T. Sanuki, Bringing in cryogenics to storage: Characteristics and performance improvement of 3d flash memory, in 2021 IEEE International Memory Workshop (IMW), 2021, pp. 1–4.
  • X. Xi, M. Dunga, J. He, W. Liu, K. M. Cao, X. Jin, J. J. Ou, M. Chan, A. M. Niknejad, C. Hu et al., Bsim4. 3.0 mosfet model, Dept. Elect. Eng. Comput. Sci., Univ. California, Berkeley, CA, Tech. Rep, vol. 94720, p. 30, 2003.
  • W. Zhao and Y. Cao, New generation of predictive technology model for sub- 45nm design exploration, in 7th International Symposium on Quality Electronic Design (ISQED’06), March 2006, pp. 6 pp.–590.
  • W. Ryan and S. Lin, Channel codes: classical and modern. Cambridge university press, 2009.
    [2009]
  • W. L. Luyben, Estimating refrigeration costs at cryogenic temperatures, Computers & Chemical Engineering, vol. 103, pp. 144–150, 2017.
    [2017]
  • W. Henkels, D.-S.Wen, R. Mohler, R. Franch, T. Bucelot, C. Long, J. Bracchitta, W. Cote, G. Bronner, Y. Taur et al., A 4-mb low-temperature dram, IEEE journal of solid-state circuits, vol. 26, no. 11, pp. 1519–1529, 1991.
    [1991]
  • W. D. Callister Jr and D. G. Rethwisch, Fundamentals of materials science and engineering: an integrated approach. John Wiley & Sons, 2012.
    [2012]
  • W. A. Wulf and S. A. McKee, Hitting the memory wall: implications of the obvious, ACM SIGARCH computer architecture news, vol. 23, no. 1, pp. 20–24, 1995.
    [1995]
  • T. Yang and X.-W. Lin, Trap-assisted DRAM row hammer effect, IEEE Electron Device Letters, vol. 40, no. 3, pp. 391–394, 2019.
    [2019]
  • T. Kelly, P. Fernandez, T. Vogelsang, S. A. McKee, L. Gopalakrishnan, S. Magee, K. Padgett, D. Barrow, J. Rizza, D. Doidge et al., Some like it cold: Initial testing results for cryogenic computing components, in Journal of Physics: Conference Series, vol. 1182, no. 1. IOP Publishing, 2019, p. 012004.
  • T. Jin, J.-p. Hong, H. Zheng, K. Tang, and Z.-h. Gan, Measurement of boiling heat transfer coefficient in liquid nitrogen bath by inverse heat conduction method, Journal of Zhejiang University-SCIENCE A, vol. 10, no. 5, pp. 691– 696, 2009.
    [2009]
  • S. S. Tannu, D. M. Carmean, and M. K. Qureshi, Cryogenic-dram based memory system for scalable quantum computers: a feasibility study, in Proceedings of the International Symposium on Memory Systems. ACM, 2017, pp. 189–195.
    [2017]
  • S. Resch, H. Cilasun, and U. R. Karpuzcu, Cryogenic pim: Challenges amp; opportunities, IEEE Computer Architecture Letters, vol. 20, no. 1, pp. 74–77, 2021.
  • S. M. Seyedzadeh, A. K. Jones, and R. Melhem, Counter-based tree structure for row hammering mitigation in DRAM, IEEE Computer Architecture Letters, vol. 16, no. 1, pp. 18–21, 2016.
    [2016]
  • S. Khan, D. Lee, and O. Mutlu, Parbor: An efficient system-level technique to detect data-dependent failures in dram, in 2016 46th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN). IEEE, 2016, pp. 239–250.
    [2016]
  • S. Khan, C. Wilkerson, D. Lee, A. R. Alameldeen, and O. Mutlu, A case for memory content-based detection and mitigation of data-dependent failures in dram, IEEE Computer Architecture Letters, vol. 16, no. 2, pp. 88–93, 2016.
    [2016]
  • S. J. Wilton and N. P. Jouppi, Cacti: An enhanced cache access and cycle time model, IEEE Journal of Solid-State Circuits, vol. 31, no. 5, pp. 677–688, 1996.
    [1996]
  • R. Zhang, M. R. Stan, and K. Skadron, Hotspot 6.0: Validation, acceleration and extension, University of Virginia, Tech. Rep, 2015.
    [2015]
  • R. R. Schaller, Moore’s law: past, present and future, IEEE spectrum, vol. 34, no. 6, pp. 52–59, 1997.
    [1997]
  • R. Kumar, D. M. Tullsen, N. P. Jouppi, and P. Ranganathan, Heterogeneous chip multiprocessors, Computer, vol. 38, no. 11, pp. 32–38, 2005.
    [2005]
  • R. H. Dennard, F. H. Gaensslen, V. L. Rideout, E. Bassous, and A. R. LeBlanc, Design of ion-implanted mosfet’s with very small physical dimensions, IEEE Journal of Solid-State Circuits, vol. 9, no. 5, pp. 256–268, 1974.
    [1974]
  • R. F. Barron, Cryogenic heat transfer. CRC press, 1999.
    [1999]
  • P. Frigo, E. Vannacc, H. Hassan, V. v. der Veen, O. Mutlu, C. Giuffrida, H. Bos, and K. Razavi, TRRespass: Exploiting the many sides of target row refresh, in 2020 IEEE Symposium on Security and Privacy (SP), 2020, pp. 747–762.
    [2020]
  • P. Flubacher, A. J. Leadbetter, and J. A. Morrison, The heat capacity of pure silicon and germanium and properties of their vibrational frequency spectra, The Philosophical Magazine: A Journal of Theoretical Experimental and Applied Physics, vol. 4, no. 39, pp. 273–294, 1959. [Online]. Available: https://doi.org/10.1080/14786435908233340
  • O. Semenov, A. Vassighi, and M. Sachdev, Impact of technology scaling on thermal behavior of leakage current in sub-quarter micron MOSFETs: perspective of low temperature current testing, Microelectronics Journal, vol. 33, no. 11, pp. 985–994, 2002.
    [2002]
  • O. Mutlu and J. S. Kim, Rowhammer: A retrospective, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 39, no. 8, pp. 1555–1571, 2020.
    [2020]
  • N. S. Kim, T. Austin, D. Baauw, T. Mudge, K. Flautner, J. S. Hu, M. J. Irwin, M. Kandemir, and V. Narayanan, Leakage current: Moore’s law meets static power, computer, vol. 36, no. 12, pp. 68–75, 2003.
    [2003]
  • N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. R. Hower, T. Krishna, S. Sardashti et al., The gem5 simulator, ACM SIGARCH Computer Architecture News, vol. 39, no. 2, pp. 1–7, 2011.
    [2011]
  • N. Balshaw, Practical cryogenics. and introduction to laboratory cryogenics, 1996.
    [1996]
  • M.-T. Chang, P. Rosenfeld, S.-L. Lu, and B. Jacob, Technology comparison for large last-level caches (L 3 Cs): Low-leakage SRAM, low write-energy STTRAM, and refresh-optimized eDRAM, in 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA). IEEE, 2013, pp. 143–154.
    [2013]
  • M. Shin, M. Shi, M. Mouis, A. Cros, E. Josse, G. T. Kim, and G. Ghibaudo, Low temperature characterization of 14nm fdsoi cmos devices, in 2014 11th International Workshop on Low Temperature Electronics (WOLTE), July 2014, pp. 29–32.
    [2014]
  • M. Patel, J. S. Kim, and O. Mutlu, The reach profiler (reaper) enabling the mitigation of dram retention failures via profiling at aggressive conditions, ACM SIGARCH Computer Architecture News, vol. 45, no. 2, pp. 255–268, 2017.
    [2017]
  • M. Ferdman, A. Adileh, O. Kocberber, S. Volos, M. Alisafaee, D. Jevdjic, C. Kaynak, A. D. Popescu, A. Ailamaki, and B. Falsafi, Clearing the clouds: a study of emerging scale-out workloads on modern hardware, in ACM SIGPLAN Notices, vol. 47, no. 4. ACM, 2012, pp. 37–48.
  • M. Dayarathna, Y.Wen, and R. Fan, Data center energy consumption modeling: A survey, IEEE Communications Surveys & Tutorials, vol. 18, no. 1, pp. 732– 794, 2016.
    [2016]
  • L. Wilson, International technology roadmap for semiconductors (itrs), Semiconductor Industry Association, 2013.
    [2013]
  • L. E. Ramos, E. Gorbatov, and R. Bianchini, Page placement in hybrid memory systems, in Proceedings of the International Conference on Supercomputing, ser. ICS ’11. New York, NY, USA: ACM, 2011, pp. 85–95. [Online]. Available: http://doi.acm.org/10.1145/1995896.1995911
    [2011]
  • L. Cojocar, K. Razavi, C. Giuffrida, and H. Bos, Exploiting correcting codes: On the effectiveness of ECC memory against rowhammer attacks, in 2019 IEEE Symposium on Security and Privacy (SP). IEEE, 2019, pp. 55–71.
    [2019]
  • K. Park, C. Lim, D. Yun, and S. Baeg, Experiments and root cause analysis for active-precharge hammering fault in DDR3 SDRAM under 3? nm technology, Microelectronics reliability, vol. 57, pp. 39–46, 2016.
  • K. Nakajima, Y. Onodera, and Y. Ogawa, Logic design of josephson network, Journal of Applied Physics, vol. 47, no. 4, pp. 1620–1627, 1976. [Online]. Available: https://doi.org/10.1063/1.322782
    [1976]
  • K. M. Bresniker, S. Singhal, and R. S. Williams, Adapting to thrive in a new economy of memory abundance, Computer, vol. 48, no. 12, pp. 44–53, 2015.
    [2015]
  • K. Kraft, C. Sudarshan, D. M. Mathew, C. Weis, N. Wehn, and M. Jung, Improving the error behavior of dram by exploiting its z-channel property, in 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 2018, pp. 1492–1495.
    [2018]
  • K. K. Likharev and V. K. Semenov, Rsfq logic/memory family: A new josephson-junction technology for sub-terahertz-clock-frequency digital systems, IEEE Transactions on Applied Superconductivity, vol. 1, no. 1, pp. 3–28, 1991.
    [1991]
  • K. Ishida, I. Byun, I. Nagaoka, K. Fukumitsu, M. Tanaka, S. Kawakami, T. Tanimoto, T. Ono, J. Kim, and K. Inoue, Supernpu: An extremely fast neural processing unit using superconducting logic devices, in 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2020, pp. 58–72.
    [2020]
  • K. Chen, S. Li, N. Muralimanohar, J. H. Ahn, J. B. Brockman, and N. P. Jouppi, Cacti-3dd: Architecture-level modeling for 3d die-stacked dram main memory, in 2012 Design, Automation Test in Europe Conference Exhibition (DATE), March 2012, pp. 33–38.
    [2012]
  • K. C. Chun, P. Jain, J. H. Lee, and C. H. Kim, A 3T gain cell embedded DRAM utilizing preferential boosting for high density and low power on-die caches, IEEE Journal of Solid-State Circuits, vol. 46, no. 6, pp. 1495–1505, 2011.
    [2011]
  • J. W. Arblaster, Thermodynamic properties of copper, Journal of Phase Equilibria and Diffusion, vol. 36, no. 5, pp. 422–444, Oct 2015. [Online]. Available: https://doi.org/10.1007/s11669-015-0399-x
    [2015]
  • J. S. Kim, M. Patel, A. G. Ya˘glıkc¸ı, H. Hassan, R. Azizi, L. Orosa, and O. Mutlu, Revisiting RowHammer: An experimental analysis of modern DRAM devices and mitigation techniques, in 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA), 2020, pp. 638–651.
    [2020]
  • J. Liu, B. Jaiyen, Y. Kim, C. Wilkerson, and O. Mutlu, An experimental study of data retention behavior in modern DRAM devices: Implications for retention time profiling mechanisms, ACM SIGARCH Computer Architecture News, vol. 41, no. 3, pp. 60–71, 2013.
    [2013]
  • J. Liu, B. Jaiyen, R. Veras, and O. Mutlu, RAIDR: Retention-aware intelligent DRAM refresh, ACM SIGARCH Computer Architecture News, vol. 40, no. 3, pp. 1–12, 2012.
    [2012]
  • J. L. Henning, SPEC CPU2006 benchmark descriptions, ACM SIGARCH Computer Architecture News, vol. 34, no. 4, pp. 1–17, 2006.
    [2006]
  • I. Nagaoka, M. Tanaka, K. Sano, T. Yamashita, A. Fujimaki, and K. Inoue, Demonstration of an energy-efficient, gate-level-pipelined 100 tops/w arithmetic logic unit based on low-voltage rapid single-flux-quantum logic, in 2019 IEEE International Superconductive Electronics Conference (ISEC), 2019, pp. 1–3.
    [2019]
  • I. Nagaoka, M. Tanaka, K. Inoue, and A. Fujimaki, 29.3 a 48ghz 5.6mw gatelevel- pipelined multiplier using single-flux quantum logic, in 2019 IEEE International Solid- State Circuits Conference - (ISSCC), 2019, pp. 460–462.
    [2019]
  • I. Byun, D. Min, G.-h. Lee, S. Na, and J. Kim, CryoCore: A fast and dense processor architecture for cryogenic computing, in 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA). IEEE, 2020, pp. 335–348.
    [2020]
  • H. Zhao and X. Liu, Modeling of a standard 0.35um cmos technology operating from 77k to 300k, Cryogenics, vol. 59, pp. 49 – 59, 2014. [Online]. Available: http://www.sciencedirect.com/science/article/pii/S0011227513000969
    [2014]
  • H. J. ter Brake and G. Wiegerinck, Low-power cryocooler survey, Cryogenics, vol. 42, no. 11, pp. 705–718, 2002.
    [2002]
  • F. Ware, L. Gopalakrishnan, E. Linstadt, S. A. McKee, T. Vogelsang, K. L. Wright, C. Hampel, and G. Bronner, Do superconducting processors really need cryogenic memories?: the case for cold dram, in Proceedings of the International Symposium on Memory Systems. ACM, 2017, pp. 183–188.
    [2017]
  • F. Wang, T. Vogelsang, B. Haukness, and S. C. Magee, DRAM retention at cryogenic temperatures, in 2018 IEEE International Memory Workshop (IMW). IEEE, 2018, pp. 1–4.
    [2018]
  • F. Balestra, L. Audaire, and C. Lucas, Influence of substrate freeze-out on the characteristics of mos transistors at very low temperatures, Solid-state electronics, vol. 30, no. 3, pp. 321–327, 1987.
    [1987]
  • E. Lee, I. Kang, S. Lee, G. E. Suh, and J. H. Ahn, TWiCe: Preventing rowhammering by exploiting time window counters, in Proceedings of the 46th International Symposium on Computer Architecture, 2019, pp. 385–396.
    [2019]
  • E. J. Nowak, Maintaining the benefits of cmos scaling when scaling bogs down, IBM Journal of Research and Development, vol. 46, no. 2.3, pp. 169–180, 2002.
    [2002]
  • E. Garz´on, Y. Greenblatt, O. Harel, M. Lanuzza, and A. Teman, Gain-cell embedded dram under cryogenic operation—a first study, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 29, no. 7, pp. 1319–1324, 2021.
  • E. Garz´on, R. De Rose, F. Crupi, M. Carpentieri, A. Teman, and M. Lanuzza, Simulation analysis of dmtj-based stt-mram operating at cryogenic temperatures, IEEE Transactions on Magnetics, vol. 57, no. 7, pp. 1–6, 2021.
  • E. Garz´on, R. De Rose, F. Crupi, A. Teman, and M. Lanuzza, Exploiting sttmrams for cryogenic non-volatile cache applications, IEEE Transactions on Nanotechnology, vol. 20, pp. 123–128, 2021.
  • D. Min, I. Byun, G.-H. Lee, S. Na, and J. Kim, CryoCache: A fast, large, and cost-effective cache architecture for cryogenic computing, in Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems, 2020, pp. 449–464.
    [2020]
  • CACTI 6.0 : A tool to model large caches
  • C. Y. Ho, R. W. Powell, and P. E. Liley, Thermal conductivity of the elements, Journal of Physical and Chemical Reference Data, vol. 1, no. 2, pp. 279–421, 1972. [Online]. Available: https://doi.org/10.1063/1.3253100
    [1972]
  • C. Kittel and H. Kroemer, Thermal physics, 1998.
    [1998]
  • C. Brady, Memtest86.
  • B. Nayfeh and K. Olukotun, A single-chip multiprocessor, Computer, vol. 30, no. 9, pp. 79–85, 1997.
    [1997]
  • A. Kwong, D. Genkin, D. Gruss, and Y. Yarom, Rambleed: Reading bits in memory without accessing them, in 41st IEEE Symposium on Security and Privacy (S&P), 2020.
    [2020]