박사

A high-performance and low-power PCM_DRAM hybrid memory system for next generation smart devices

정보성 2018년
논문상세정보
' A high-performance and low-power PCM_DRAM hybrid memory system for next generation smart devices' 의 주제별 논문영향력
논문영향력 선정 방법
논문영향력 요약
주제
  • Memory characteristics
  • Page management
  • highperformance
  • hybrid memory system
  • low-power
동일주제 총논문수 논문피인용 총횟수 주제별 논문영향력의 평균
83 0

0.0%

' A high-performance and low-power PCM_DRAM hybrid memory system for next generation smart devices' 의 참고문헌

  • X. Wu and J. Li, et al., “Power and performance of read-write aware Hybrid Caches with non-volatile memories,” DATE '09 Proceedings of the Conference on Design, Automation and Test in Europe, 2009. DATE '09. 2009, pp. 737-742.
  • X. Cai, L. Ju, etc., “A Novel Page Caching Policy for PCM and DRAM of Hybrid Memory Architecture,” 13th International Conference on Embedded Software and Systems (ICESS), 2016, pp.67-73.
  • W. Y. Cho et al., “A 0.18μm 3.0V 64Mb Nonvolatile Phase-Transition Random Access Memory(PRAM),” IEEE J. Solid State Circuits, Vol.40, No.1, 2005, pp.293.
  • S. Y. Lee, H. K. Bahn and S. H. Noh, “CLOCK-DWF: A Write-History-Aware Page Replacement Algorithm for Hybrid PCM and DRAM Memory Architectures,” IEEE Transactions on Computers, 2014, Vol. 63, Issue 9, pp. 2187-2200.
  • S. Y. Cho and H. J. Lee, “Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance,” Microarchitecture, MICRO 42 Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, 2009, PP.347-357.
  • S. Raoux et al., “Phase-change random access memory: A scalable technology,” IBM Journal of Research and Development, vol. 52, no. 4/5, pp. 465–479, 2008.
  • S. K. Yoon, and K. S. Jung et al., “ Hot-Cold Data Filtering and Management for PRAM based Memory-storage Unified System,” Systems, Man, and Cybernetics (SMC), 2017 IEEE International Conference on, 2017, pp. 1609-1614.
  • S. J. Im, D. K. Shin, "Differentiated space allocation for wear leveling on phase-change memory-based storage device," IEEE Transactions on Consumer Electronics, Vol. 60, Issue 1, 2014, pp. 45-51.
  • S. I. Jang, and S. K. Yoon, et al., “Data Classification Management with its Interfacing Structure for Hybrid SLC/MLC PRAM Main Memory,” The Computer Journal, 2015, Vol. 58, Issue 11, pp. 2852– 2863.
  • S. H. Yoo, E. J. Lee, and H. Y. Bhan, “LDF-CLOCK : The Least-Dirty-First CLOCK Replacement Policy for PCM-based Swap Devices,” Journal of Semiconductor Technology and Science, 2015, Vol.15 No.1, pp. 68-76.
  • S. Chen, P. B. Gibbons, and S. Nath, “Rethinking Computer Architectures and Software Systems for Phase-Change Memory,” ACM Journal on Emerging Technologies in Computing Systems, 2016, Vol.12 Issue 4, pp. 33-73.
  • P. P. Palangappa, J. Li and K. Mohanram, “ WOM-Code Solutions for Low Latency and High Endurance in Phase Change Memory,” IEEE Transactions on Computers, 2016, Vol. 65, Issue 4, pp. 1025-1040.
  • N. Nethercote and J. Seward. “Valigrind : A Program Supervision Framework,” Elsevier Electronic Notes in Theoretical Computer Science. 2003, pp. 33-44.
  • M. Sparsh and S. V. Jeffrey, “A Survey of Software Techniques for Using Non-Volatile Memories for Storage and Main Memory Systems,” IEEE Transactions on Parallel and Distributed Systems, 2016, Vol. 27, Issue 5, pp. 1537-1550.
  • M. Prabhu, S. Rajarajan and K.S. Suresh, “ Proposed Hybrid Memory Using DRAM and PCM to Attain Better Performance,” American -Euraian Journal of Scientific Research, 2013, pp. 99-103.
  • M. K. Qureshi, S. Vijayalakshmi, and J. A. Rivers, “Scalable High Performance Main Memory System Using Phase-Change Memory Technology,” Proceedings of the 36th annual international symposium on Computer architecture, 2009, pp. 24-33.
  • M. K. Qureshi, M. M. Franceschini, A. Jagmohan, and L. A. Lastras, “PreSET: improving performance of phase change memories by exploiting asymmetry in write times,” ISCA '12 Proceedings of the 39th Annual International Symposium on Computer Architecture, 2012, pp. 380-391
  • M. H. Lee and D. H. Kang, et al., “M-CLOCK: migration-optimized page replacement algorithm for hybrid DRAM and PCM memory architecture,” Proceedings of the 30th Annual ACM Symposium on Applied Computing, 2015, pp. 2001-2006.
  • L. Jiang, Y. Zhang, and J. Yang, “Mitigating Write Disturbance in Super-Dense Phase Change Memories,” DSN '14 Proceedings of the 2014 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, pp. 216-227
  • L. Jiang, Y. Zhang, and J. Yang, “ER: elastic RESET for low power and long endurance MLC based phase change memory,” ISLPED '12 Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design, 2012, pp. 39-44.
  • K. Y. Park, S. K. Yoon, and S. D. Kim, “ Selective Data Buffering Module for Unified Hybrid Storage System,” 14th International Conference on Computer and Information Science, 2015, PP. 173-178.
  • K. Ma, and X. Li, et al., “Incidental Computing on IoT Nonvolatiel Processors,” MICRO-50 '17 Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017, pp. 20-218.
  • K. M. Chen, P. Q. Jin, and L. H. Yue, “A Survey on Phase Change Memory-Aware Cache Management,” International Journal of Multimedia and Ubiquitous Engineering, 2016, Vol. 11, No. 1, pp.293-310.
  • K. Fang, L. Chen, Z. Zhang, and Z. Zhu, “Memory Architecture for Integrating Emerging Memory Technologies,” Parallel Architectures and Compilation Techniques (PACT), 2011 International Conference on, 2011. pp. 403-412.
  • J. C. Mogul, E. Argollo, M. Shah, and P. Faraboschi, “Operation system support for NVM+DRAM hybrid main memory,” HotOS'09 Proceedings of the 12th conference on Hot topics in operating systems. 2009, pp.4-14.
  • ITRS, “International technology roadmap for semiconductors,” Emerging Research Devices(ERD). Available: htto://www.itrs2.net/ 2013-itrs.html
  • Hayes and M. Hill, “Computer Architecture & Oranization”.
  • HD Tune Pro, Information available at http://www.hdtune.com
  • H. S. Philip, et al., “Phase chage memory.” in proc. IEEE vol.98, no. 12, pp.2201-2227, 2010.
  • H. C. Seok, Y. W. Park, and K. H. Park, “Migration based page caching algorithm for a hybrid main memory of DRAM and PRAM,” SAC '11 Proceedings of the 2011 ACM Symposium on Applied Computing, 2011, pp. 595-599.
  • H. A. Khouzani, F. S. Hosseini, and C. Yang, “Segment and Conflict Aware Page Allocation and Migration in DRAM-PCM Hybrid Main Memory,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2016, Vol. 36, Issue: 9, pp. 1458-1470.
  • H. A. Khouzani, C. Yang, and J. Hu, “Improving performance and lifetime of DRAM-PCM hybrid main memory through a proactive page allocation strategy,” Design Automation Conference (ASP-DAC), 2015 20th Asia and South Pacific, 2015. pp. 508-513.
  • F. J Corbato, “A paging experiment with the multics system,” in Honor of P.M. Morse, Cambridge, MA, USA: MIT Press, 1968, pp. 217-228.
  • C. Chen and J. An, “DRAM write-only-cache for improving lifetime of phase change memory,” International Midwest Symposium on Circuits and Systems(MWSCAS), 2016, pp. 1-4.
  • B. S. Jung and J. H. Lee, “Page Preplacement Policy of DRAM & PCM Hybrid Memory Using Tow Locality,” IEMEK Journal of Embedded Systems and Applications, 2016, Vol. 11, pp.117-123.
  • B. S. Jung and J. H. Lee, “High Performance PCM & DRAM Hybrid Memory System,” IEMEK Journal of Embedded Systems and Applications, 2016, Vol. 11, pp.117-123.
  • B. J. Choi, S. H. Oh, et al., “Switching Power Reduction in Phase Change Memory Cell Using CVD Ge2Sb2Te5 and Ultrathin TiO2 Films,” Journal of The Electrochemical Society, 2009, Vol. 156, Issue 1, pp.59-63.
  • B. D. Yang, J. E. Lee et al., “A Low Power Phase-Change Random Access Memory using a Data-Comparison Write Scheme,” Circuits and Systems, 2007. ISCAS 2007. IEEE International Symposium on, pp. 3014-3017.
  • A. Porovano and F. Pellizzer, et al., “Phase-change memory technology with self-aligned μTrench cell architecture for 90 nm node and beyond,” Solid-State Electronics, 2008, Vol. 52, Issue 9, pp.1467-1472.
  • A. Chen, “Emerging nonvolatile memory(NVM) technologies,” Solid State Device Research Conference (ESSDERC), 2015 45th European, 2015m pp. 14-18.
  • A. Awad, B. Kettreing, and Y. Solihin, “Non-volatile memory host controller interface performance analysis in high-performance I/O systems,” ISPASS, 2015 IEEE International Symposium on, 2015, pp. 145-153.
  • . SPEC Benchmark Suite, Information available at http://www.spec.org/cpu2006/