박사

Characteristics of the higher-k Hf-Zr-O dielectric materials on Si Ge substrates and their application in 3-dimensional Tri-Gate FET devices

이재호 2016년
논문상세정보
' Characteristics of the higher-k Hf-Zr-O dielectric materials on Si Ge substrates and their application in 3-dimensional Tri-Gate FET devices' 의 주제별 논문영향력
논문영향력 선정 방법
논문영향력 요약
주제
  • 기술과 연합작용
  • 3d structure
  • Aluminium oxide
  • Ge substrate
  • GeON
  • HZO
  • Hafnium Oxide
  • High-k gate dielectrics
  • Passivation Layer
  • Planar FET
  • Silicon oxide
  • Tri-Gate FET
  • metal gate
  • monoclinic
  • tetragonal
동일주제 총논문수 논문피인용 총횟수 주제별 논문영향력의 평균
596 0

0.0%

' Characteristics of the higher-k Hf-Zr-O dielectric materials on Si Ge substrates and their application in 3-dimensional Tri-Gate FET devices' 의 참고문헌

  • Z. Sun and C. Liu, Semicond. Sci. Tech., 8, 1779 (1993).
  • Y.C. Yeo, T.J. King, C. Hu, J. Appl. Phys. 92 (2002) 7266.
  • Y. Zhao, Materials, 5, 1413-1438, (2012)
  • Y. Wang, Y. Z. Hu, and E. A. Irene, “Electron cyclotron resonance plasma and thermal oxidation mechanisms of germanium,” J. Vac. Sci. Technol. A, Vac. Surf. Films, vol. 12, no. 4, pp. 1309–1314, Jul. 1994.
  • Y. Nishikawa, N. Fukushima, N. Yasuda, K. Nakayama, and S. Ikegawa, “Electrical properties of single crystalline CeO2 high-k gate dielectrics directly grown on Si (111),” Japanese Journal of Applied Physics, vol. 41, no. 4, pp. 2480–2483, 2002.
  • Y. L. Chao, S. Prussin, J. C. S. Woo, and R. Scholz, "Preamorphization implantation-assisted boron activation in bulk germanium and germanium-on-insulator," Applied Physics Letters, vol. 87, p. 142102, 2005.
  • Y. Kamata, Mater. Today 11 (2008) 30.
  • Y. -C. Yeo, P. Ranade, T. -J. King, Chenming Hu, IEEE Electron. Device Lett. 23, 6 (2002).
  • Xuejue Huang, Wen-Chin Lee, Charles Kuo, Digh Hisamoto*, Leland Chang, Jakub Kedzierski, Erik Anderson, Hideki Takeuchi, Yang-Kyu Choi, Kazuya Asano, Vivek Subramanian, Tsu-Jae King, Jeffrey Bokor and Chenming Hu,“Sub 50-nm FinFET: PMOS”, 1999 IEEE.
  • Xiong, W., et al. FinFET Performance Enhancement with Tensile Metal Gates and Strained Silicon on Insulator (sSOI) Substrate. in 64th Device Research Conference 2006.
  • X. Zhu, J. Zhu, A. Li, Z. Liu, and N. Ming, “Challenges in atomic-scale characterization of high-k dielectrics and metal gate electrodes for advanced CMOS gate stacks,” Journal of Materials Science and Technology, vol. 25, no. 3, pp. 289–313, 2009.
  • X. Zhao, D. Vanderbilt, Phys. Rev. B. 65, 233106, (2002).
  • X. Zhao, D. Vanderbilt, First-principles study of structural vibrational and lattice dielectric properties of hafnium oxide, Phys. Rev. B 65, 233106-1-4 (2002).
  • X. Zhao and D. Vanderbilt, Phys. Rev. B 65, 075105 (2002).
  • Wu, E.Y. and Su , J., Power-law voltage acceleration: a key element for ultra-thin gate oxide reliability, Microelectron. Rel. 45, 1809, 2005.
  • Wolters, D.R. and Van der Schoot, J.J., Dielectric breakdown in MOS devices, Part I: defect-related and intrinsic breakdown, Philips Res. Rep. 40, 115, 1985; Wolters, D.R. and Verwey, J.F., Breakdown and wear-out phenomena in SiO2 films in Instabilities in Silicon Devices, Elsevier, Amsterdam, 1986, Ch.6.
  • Warren, W.L., Vanheusden, K., Fleetwood, D.M., Schwank, J.R., Shaneyfelt, M.R., Winokur, P.S., and Devine, R.A.B., A Proposed model for positive charge in Si02 thin films over-coordinated oxygen centers, IEEE Trans. Nucl. Sci. 43, 2617, 1996.
  • Walters, M.and Reisman, A., Radiation-induced neutral electron trap generation in electrically biased insulated gate field effect transistor gate insulators, J. Electrochem. Soc. 138, 2756, 1991.
  • W. Huang, C. Lu, J. Yu, J.-B. Wei, C.-W. Chen, J.-Y. Wang, et al., "High-performance germanium n+/p junction by nickel-induced dopant activation of implanted phosphorus at low temperature," Chinese Physics B, vol. 25, p. 057304, 2016.
  • W. D. Kim, G. W. Hwang, O. S. Kwon, S. K. Kim, M. Cho, D. S. Jeong, S. W. Lee, M.H. Seo,C. S. Hwang, Y. -S. Min, Y. J. Cho, J. Electronchem. Soc. 152, C552, (2005)
  • W. B. F. a. K. L. Y. Frank J. Feigl, "OXYGEN VACANCY MODEL FOR THE E~CENTER IN SiO2," Solid state communications, vol. 14, pp. 225-229, 1974.
  • V. Subramanian, B. Parvais, J. Borremans, A. Mercha, D. Linten,, P. Wambacq, J. Loo, M. Dehan, C. Gustin, N. Collaert, S. Kubicek, R. Lander, J. Hooker, F. Cubaynes, S. Donnay, M. Jurczak, G. Groeseneken, W. Sansen and S.Decoutere, IEEE Trans. Electron Devices, 53, 12 (2006).
  • V. Subramanian, B. Parvais, J. Borremans, A. Mercha, D. Linten, P. Wambacq, J. Loo, M. Dehan, C. Gustin, N. Collaert, S. Kubicek, R. Lander, J. Hooker, F. Cubaynes, S. Donnay, M. Jurczak, G. Groeseneken, W. Sansen, and S. Decoutere, “Planar Bulk MOSFETS Versus FinFETs:An Analog/RF Perspective”, IEEE Trans. Electron Devices, vol. 53, No. 12, Dec, (2006).
  • V. D. Borman, E. P. Gusev, Y. Y. Lebedinski, and V. I. Troyan, "Mechanism of submonolayer oxide formation on silicon surfaces upon thermal oxidation," Physical Review B, vol. 49, pp. 5415- 5423, 1994.
  • V. Craciun, I. W. Boyd, B. Hutton, and D. Williams, “Characteristics of dielectric layers grown on Ge by low temperature vacuum ultravioletassisted oxidation,” Appl. Phys. Lett., vol. 75, no. 9, pp. 1261–1263, Aug. 1999.
  • Uchida, K. and S. Takagi, Carrier scattering induced by thickness fluctuation of silicon-on-insulator film in ultrathin-body metal-oxide-semiconductor field-effect transistors. Applied Physics Letters, 2003. 82(17): p. 2916-2918.
  • Tsutsui, G., et al. Mobility enhancement due to volume inversion in (110)-oriented ultra-thin body double-gate nMOSFETs with body thickness lessthan 5 nm. in IEEE International Electron Devices Meeting, Tech. Dig. 2005.
  • TsuJae King Liu,“FinFETHistory, Fundamentals and Future”, 2012 Symposium on VLSI Technology Short Course.
  • Tony Low, Y. T. Hou, M. F. Li, Chunxiang Zhu, Albert Chin, G. Samudra, L. Chan, and D. –L. Kwong, “Investigation of performance limits of germanium double-gated MOSFETs,” Tech. Dig. Int. Electron Devices Meet. 2003, pp. 691, 2003.
  • Tom Dillinger, “Challenges for FinFET Extraction” in IEEE Electronic Design Process Symposium, 4/19/2013
  • The International Technology Roadmap for Semiconductors, 2007 Edition, Executive Summary (http://www.itrs.net/Links/2007ITRS/ExecSum2007.pdf) and also the 2008 Update at http://www.itrs.net/Links/2008ITRS/Home2008.htm.
  • The International Technology Roadmap for Semiconductors (ITRS) Roadmap, ITRS Roadmap 2007/2008, http://public. itrs.net/.
  • Tewksbury, T.L. and Lee, H.S., Characterization, modeling, and minimization of transient threshold voltage shifts in MOSFET’s, IEEE J. Solid-State Circ. 29, 239, 1994.
  • Tan, K.M., et al., Diamond-like carbon (DLC) liner: A new stressor for pchannel multiple-gate field-effect transistors. IEEE Electron Device Letters, 2008. 29(7): p. 750- 752.
  • T.S. B scke, P.Y. Hung, P.D. Kirsch, M.A. Quevedo-Lopez, R. Ramı́rez-Bon, Appl. Phys. Lett. 95, 052904, (2009).
  • T.-S. Park, E. Yoon, Jong-Ho Lee, Physica E, 19, p. 6-12 (2003).
  • T. T. Choong Hyun Lee, Tomonori Nishimura,Kosuke Nagashio, Koji Kita, and Akira Toriumi, "Ge/GeO2 Interface Control with High-Pressure Oxidation for Improving Electrical Characteristics," Applied Physics Express, vol. 2, p. 071404, 2009.
  • T. Rudenko, V. Kilchytska, N. Collaert, S. De Gendt, R. Rooyackers, M. Jurczak, and D. Flandre, Proc. ESSDERC. 85-8, (2005).
  • T. P. Smirnova, V. O. Borisov, V. N. Kichai, V. V. Kaichev, and V. V. Kriventsov, J. Struct. Chem. 53, 4, p.708-714 (2012).
  • T. Busani and R. A. B. Devine, “The importance of network structure in high-k dielectrics: LaAlO3, Pr2O3, and Ta2O5,” Journal of Applied Physics, vol. 98, no. 4, Article ID 044102, 2005.
  • Suman Lata Tripathi and R. A. Mishra, “DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION” , Journal of Electron Devices, Vol. 18, 2013, pp. 1537-1542.
  • Stathis, J.H., Quantitative model of the thickness dependence of breakdown in ultrathin oxides, Microelectron. Eng. 36, 325, 1997.
  • Snow, E.H., Grove, A.S., Deal, B.E., and Sah, C.T., Ion transport phenomena in insulating films, J.Appl. Phys. 36, 1664, 1965.
  • Shklovskii B.I. and Efros A.L., Electronic Properties of Doped Semiconductors, Springer, Berlin,1984.
  • Shin-ichi Takagi, “Re-examination of subband structure engineering in ultrashort channel MOSFETs under ballistics carrier transport,” VLSI Tech.Dig. 2003, pp, 115, 2003.
  • Serra, N. and D. Esseni, Mobility Enhancement in Strained n-FinFETs: Basic Insight and Stress Engineering. IEEE Transactions on Electron Devices, 2010. 57(2): p. 482-490.
  • S.K. Filatov, High-Temperature Crystallochemistry (Leningrad, Nedra, 1990), p. 284.
  • S.-Y. K. a. J. H. Lee, IEEE Electron. Device Lett. 26, 8 (2005).
  • S. Zafar, et al., IEEE Tech Digest VLSI Symp., 2005, p. 44.
  • S. Tripathi, V. Narendar, Superlattice Microst. 83, p. 476–487 (2015).
  • S. Takagi, T. Tezuka, T. Numata, S. Nakazari, N. Hirashita, Y. Moriyama, K. Usuda, E. Toyoda, S. Dissanayake, M. Shichijo, R. Nakane, S. Sugahara, M. Takenaka, N.Sugiyama, IEEE Trans. Electron. Devices 55 (2008) 21.
  • S. Sioncke, H. C. Lin, L. Nyns, G. Brammertz, A. Delabie, T. Conard, et al., "S-passivation of the Ge gate stack: Tuning the gate stack properties by changing the atomic layer deposition oxidant precursor," Journal of Applied Physics, vol. 110, p. 084907, 2011.
  • S. Schamm, P. E. Coulon, S. Miao, S. N. Volkos, L. H. Lu, L. Lamagna, C. Wiemer, D. Tsoutsou, G. Scarel and M. Fanciulli, J. Electronchem. Soc. 156, H1, (2009)
  • S. Ohmi, C. Kobayashi, I. Kashiwagi, C. Ohshima, H. Ishiwara, H. Iwai, J. Electronchem. Soc, 150, F134, (2003)
  • S. N. Rashkeev, D. M. Fleetwood, R. D. Schrimpf, and S. T. Pantelides, "Defect generation by hydrogen at the Si- SiO(2) interface," Phys Rev Lett, vol. 87, p. 165506, Oct 15 2001.
  • S. K. Wang, K. Kita, T. Nishimura, K. Nagashio, and A. Toriumi, "Kinetic Effects of O-Vacancy Generated by GeO$_{2}$/Ge Interfacial Reaction," Japanese Journal of Applied Physics, vol. 50, p. 10PE04, 2011.
  • S. K. Wang, K. Kita, C. H. Lee, T. Tabata, T. Nishimura, K. Nagashio, et al., "Desorption kinetics of GeO from GeO[sub 2]/Ge structure," Journal of Applied Physics, vol. 108, p. 054104, 2010.
  • S. K. Kim, C. S. Hwang, Electrochem. Solid-State Lett. 11, G9, (2008).
  • S. Iwauchi and T. Tanaka, “Interface properties of Al2O3−Ge structure and characteristics of Al2O3−Ge MOS transistors,” Jpn. J. Appl. Phys., vol. 10, no. 2, pp. 260– 265, Feb. 1971.
  • S. Guha, V. K. Paruchuri, M. Copel, V. Narayanan, Y. Y. Wang, P. E. Batson, N. A. Bojarczuk, B. Linder, and B. Doris, Appl. Phys. Lett. 90, 092902 (2007).
  • S. Chen, Y. Y. Zhu, R. Xu et al., “Superior electrical properties of crystalline Er2O3 films epitaxially grown on Si substrates,” Applied Physics Letters, vol. 88, no. 22, Article ID222902, 2006.
  • Rob Aitken, “The Challenges of FinFET Design”.
  • R.S.Johnson,H.Niimi,andG.Lucovsky,“New approach for the fabrication of devicequality Ge/GeO2/SiO2 interfaces using low temperature remote plasma processing,” J. Vac. Sci. Technol. A, Vac. Surf. Films, vol. 18, no. 4, pp. 1230–1233, Jul. 2000.
  • R.R. Manory, T. Mori, I. Shimizu, S. Miyake, G. Kimmel, Growth and structure control of HfO2−x films with cubic and tetragonal structures obtained by ion beam assisted deposition, J. Vac. Sci. Technol. A 20(2), 549–554 (2002).
  • R.N. Patil, E.C. Subbarao, Axial thermal expansion of zirconium oxide [zirconia] and hafnium oxide [hafnia] in the range room tempteraure to 1400◦C, J. Appl. Crystallogr. 2(Pt. 6), 281–288 (1969).
  • R. Z. Shinichi Takagi, Mitsuru Takenaka, "Ge gate stacks based on Ge oxide interfacial layers and the impact on MOS device properties," Microelectronic Engineering, vol. 109, pp. 389–395, 2013.
  • R. Huang, H. Wu, J. Kang et al., “Challenges of 22 nm and beyond CMOS technology,” Science in China Series F, vol. 52, no. 9, pp. 1491–1533, 2009.
  • Q. Bao, C. Chen, D. Wang, Q. Ji, and T. Lei, “Pulsed laser deposition and its current research status in preparing hydroxyapatite thin films,” Applied Surface Science, vol. 252, no. 5, pp. 1538–1544, 2005.
  • Prateek Mishra, Anish Muttreja, and Niraj K. Jha, “FinFET Circuit Design”, Nanoelectronic Circuit Design, Springer Science+Business Media, LLC 2011.
  • Poindexter, E.H., and Caplan, P.J., Characterization of Si/SiO2 interface defects by electron spinresonance, Progr. Surf. Sci. 14, 201, 1983.
  • Poindexter E.H., Caplan P.J., Deal B.E., and Razouk, R.R., Interface states and electron spin resonance centers in thermally oxidized (111) and (100) silicon wafers, J. Appl. Phys. 52, 879, 1981.
  • Pliskin, W.A. and Gdula, R.A., Passivation and insulation, in Handbook on Semicon ductors, Vol. 3(S.P. Keller, ed.), North Holland, Amsterdam, 1980 and references therein.
  • P. T. L. J. P. Xu, C. X. Li, X. Zou, C. L. Chan "Improved electrical properties of Germanium MOS capacitors with gate dielectric grown in wet-NO ambient," IEEE ELECTRON DEVICE LETTERS, vol. 27, pp. 439-441, 2006.
  • P. Ranade et al., "High Performance 35nm LGATE CMOS Transistors Featuring NiSi Metal Gate (FUSI), Uniaxial Strained Silicon Channels and 1.2nm Gate Oxide," IEDM Tech. Dig., p. 217, 2005.
  • P. Packan, S. Akbar, M. Armstrong, D. Bergstrom, M. Brazier, H. Deshpande, K. Dev, G. Ding, T. Ghani, O. Golonzka, W. Han, J. He, R. Heussner, R. James, J. Jopling, C. Kenyon, S-H. Lee, M. Liu, S. Lodha, B. Mattis, A. Murthy, L. Neiberg, J. Neirynck, S. Pae, C. Parker, L. Pipes, J. Sebastian, J. Seiple, B. Sell, A. Sharma, S. Sivakumar, B. Song, A. St. Amour, K. Tone, T. Troeger, C. Weber, K. Zhang, Y. Luo, S. Natarajan, IEDM Technical Digest, 2009, 659.
  • P. C. Juan, C. H. Liu, C. L. Lin et al., “Electrical characterization and dielectric properties of metal-oxide-semiconductor structures using high-k CeZrO4 ternary oxide as gate dielectric,” Japanese Journal of Applied Physics, vol. 48, no. 2, pp. 05DA021– 05DA025, 2009.
  • P. Bhatt, K. Chaudhuri, S. Kothari, A. Nainani, and S. Lodha, "Germanium oxynitride gate interlayer dielectric formed on Ge(100) using decoupled plasma nitridation," Applied Physics Letters, vol. 103, p. 172107, 2013.
  • Omura, Y., et al., Quantum-mechanical effects on the threshold voltage of ultrathin- SOI nMOSFETs. IEEE Electron Device Letters, 1993. 14(12): p.569-571.
  • Oldham, T.R., Ionizing Radiation Effects in MOS Oxides, World Scientific, Singapore, 1999.
  • O. Ohtaka, T. Yamanaka, S. Kume, E. Ito, A. Navrotsky, Stability of monoclinic and orthorhombic zirconia: studies by high-pressure phase equilibria and calorimetry, J. Am. Ceram. Soc. 74, 505–509 (1991).
  • O. Ohtaka, H. Fukui, T. Kunisada, and T. Fujisawa, J. Am. Ceram. Soc 84, 1369-73, (2001).
  • O. Hunter, R.W. Scheidecker Jr., S. Tojo, Characterization of metastable tetragonal hafnia, Ceram. Int. 5(4), 137–141 (1979).
  • O. Bethge, C. Henkel, S. Abermann, G. Pozzovivo, M. Stoeger-Pollach, W. S. M. Werner, et al., "Stability of La2O3 and GeO2 passivated Ge surfaces during ALD of ZrO2 high-k dielectric," Applied Surface Science, vol. 258, pp. 3444-3449, 2012.
  • Nishi, Y., Study of silicon-silicon dioxide structure by electron spin resonance I, Japan. J. Appl. Phys.10, 52, 1971.
  • Min-hwa Chi, “Challenges in Manufacturing FinFET at 20nm node and beyond”, Technology Development, Globalfoundries, Malta, NY 12020, USA.
  • Min-hwa Chi, “Challenges in Manufacturing FinFET at 20nm node and beyond
  • Massoud H.Z. and Deaton R. Percolation model for the extreme-value statistics of dielectric breakdownin rapid-thermal oxides, Extended Abstracts of the ECS Meeting, 287, 1994.
  • MacK.J.D. Kenzie, M. Schmucker, L. Mayer, Evolution of crystalline aluminates from hybrid gel-derived precursors studied by XRD and multinuclear solid state MAS NMR. III. Lanthanum hexaluminate LaAl11O18, Thermochim. Acta 335(1–2), 73–78 (1999).
  • M.W. Pitcher, S.V. Ushakov, A. Navrotsky, B.F. Woodfield, G. Li, J. Boerio-Goates, B.M. Tissue, J. Am. Ceram. Soc. 88, 160-167, (2004).
  • M. Shandalov, P.C. McIntyre, J. Appl. Phys. 106, 084322, (2009).
  • M. Randolph and L. G. Meiners, “Hole mobilities and surface generation currents of CVD insulators on germanium,”J.Electrochem.Soc.,vol.136, no. 9, pp. 2699–2705, 1989.
  • M. Meuris, P.W. Mertens, A. Opdebeeck, H.F. Schmidt, M. Depas, G. Vereecke, M.M. Heyns and A. Philipossian, Solid State Technol., 38, 109 (1995).
  • M. M. Waldrop, Nature, p. 144-147 (2016).
  • M. M. S. H. Shang, M.M. Frank, E.P. Gusev, J.O. Chu, S.W. Bedell, K.W. Guarini, M. Ieong,, Germanium channel MOSFETs: Opportunities and challenges," IBM Journal of Research and Development vol. 50, pp. 377-386, 2006.
  • M. Lundstrom, “Elementary scattering theory of the Si MOSFET,” IEEE Electron Device Lett., vol. 18, no. 7, pp. 361–363, Jul. 1997.
  • M. Kobayashi, G. Thareja, M. Ishibashi, Y. Sun, P. Griffin, J. McVittie, et al., "Radical oxidation of germanium for interface gate dielectric GeO[sub 2] formation in metal-insulator-semiconductor gate stack," Journal of Applied Physics, vol. 106, p. 104117, 2009.
  • M. I. Current, N. L. Turner, T.C. Smith, D. Crane, Nucl. Instrum. Methods, B6, p.336-348 (1985)
  • M. Gutowski, J. E. Jaffe, C. -L. Liu, M. Stoker, R. I. Hegde, R. S. Rai, P. J. Tobin, Appl. Phys. Lett. 80, 1897, (2002).
  • M. Grube, W. M. Weber, O. Bierwagen, L. Geelhaar and H. Riechert, 2009 International Conference on Signals, Circuits and Systems, (2009).
  • M. Chudzik, B. Doris, R. Mo, J. Sleight, E. Cartier, C. Dewan, D. Park, H. Bu, W. Natzle, W. Yan, C. Ouyang, K. Henson, D. Boyd, S. Callegari, R. Carter, D. Casarotto, M. Gribelyuk, M. Hargrove, W. He, Y. Kim, B. Linder, N. Moumen, V.K. Paruchuri, J. Stathis, M. Steen, A. Vayshenker, X. Wang, S. Zafar, T. Ando, R. Iijima, M. Takayanagi, V. Narayanan, R. Wise, Y. Zhang, R. Divakaruni, M. Khare, T.C. Chen, Symp. VLSI Tech. Dig., 2007, 194.
  • Lopez-Villanueva, J.A., et al., Effects of the inversion-layer centroid on the performance of double-gate MOSFETs. IEEE Transactions on Electron Devices, 2000. 47(1): p. 141-146.
  • Liow, T.Y., et al., N-Channel (110)-Sidewall Strained FinFETs With Silicon Carbon Source and Drain Stressors and Tensile Capping Layer. IEEE Electron Device Letters, 2007. 28(11): p. 1014-1017.
  • Liow, T.Y., et al. Strained N-Channel FinFETs with 25 nm Gate Length and Silicon- Carbon Source/Drain Regions for Performance Enhancement. In Symposium on VLSI Technology, Tech. Dig. 2006.
  • Lenahan, P.M. and Dressendorfer, P.V., Hole traps and trivalent silicon centers in metal/oxide/silicon devices, J. Appl. Phys. 55, 3495, 1984.
  • Lelis, A.J., Oldham, T.R., Boesch, Jr., H.E., and McLean, F.B., The nature of the trapped hole annealing process, IEEE Trans. Nucl. Sci., 36, 1808, 1989.
  • Lee, R.T.P., et al., P-channel tri-gate FinFETs featuring Ni1-yPtySiGe source/drain contacts for enhanced drive current performance. IEEE Electron Device Letters, 2008. 29(5): p. 438-441.
  • L.Yan,C.M.Lopez,R.P.Shrestha,E.A.Irene,A.A.Suvorova, and M. Saunders, “Magn esium oxide as a candidate high-κ gate dielectric,” Applied Physics Letters, vol. 88, no. 14, Article ID 142901, 2006.
  • L. Lin, J. Robertson, Microelectron. Eng. 86, 1743-1746. (2009)
  • Kang, C.Y., et al., Effects of metal gate-induced strain on the performance of metaloxide- semiconductor field effect transistors with titanium nitride gate electrode and hafnium oxide dielectric. Applied Physics Letters, 2007. 91(3).
  • K. Y. Kana Hirayama, Ryuji Ueno, Yoshiaki Iwamura, Haigui Yang, Dong Wang, and Hiroshi Nakashima, "Fabrication of Ge-MOS capacitors with high quality interface by ultra-thin SiO2/GeO2 bi-layer passivation combined with the subsequent SiO2-depositions using magnetron sputtering," Solid-State Electronics, vol. 60, pp. 122-127.
  • K. Tse, D. Liu, K. Xiong, J. Robertson, Microelectron. Eng. 84 (2007) 2028-2031.
  • K. Tatsumura, T. Ishihara, S. Inumiya, K. Nakajima, A. Kaneko, M. Goto, S. Kawanaka, and A. Kinoshita, Tech. Dig. – Int. Electron Devices Meet. 2008, 25.
  • K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, M. Brazier, M. Buehler, A. Cappellani, R. Chau, C.-H. Choi, G. Ding, K. Fischer, T. Ghani, R. Grover, W. Han, D. Hanken, M. Hattendorf, J. He, J. Hicks , R. Huessner, D. Ingerly, P. Jain, R. James, L. Jong, S. Joshi, C. Kenyon, K. Kuhn, K. Lee, H. Liu, J. Maiz, B. McIntyre, P. Moon, J. Neirynck, S. Pae, C. Parker, D. Parsons, C. Prasad, L. Pipes, M. Prince, P. Ranade, T. Reynolds, J. Sandford, L. Shifren, J. Sebastian, J. Seiple, D. Simon, S. Sivakumar, P. Smith, C. Thomas, T. Troeger, P. Vandervoorn, S. Williams, K. Zawadzki, IEDM Technical Digest, 2007, 247.
  • K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, M. Brazi Buehler, A. Cappellani, Tech. Dig.-Int. Electron Devices Meet. 247-250 (2007).
  • K. Mistry et al., "A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging," IEDM Tech. Dig., pp. 247-250, 2007.
  • K. Kukli, T. Pilivi, M. Ritala, T. Sajavaara, J. Lu, M. Leskela, Thin Solid Films 491, 328 (2005).
  • K. Choi et al., "Extremely Scaled Gate-First High-k/Metal Gate Stack with EOT of 0.55nm Using Novel Interfacial Layer Scavenging Techniques for 22nm Technology Node and Beyond," VLSI Tech. Dig., pp. 138-139, 2009.
  • Jameson, J.R., Griffin, P.B., Plummer, J.D. and Nishi, Y., Charge trapping in high-K gate stacks due tothe bilayer structure itself, IEEE Trans. Electron Dev. 53, 1858, 2006.
  • J.I. Beltr n, M.C. Mu oz, J. Hafner, New J. Phys. 10, 063031, (2008).
  • J.-H. Lee, U.S. Patent No. 6885055B2, (January 2003).
  • J. Wang, J. Mater. Sci. 27, 5397-5430, (1992).
  • J. Wang, H.P. Li, R. Stevens, Hafnia and hafnia-toughened ceramics, J. Mater. Sci. 27(20), 5397–5430 (1992).
  • J. Tang, F. Zhang, P. Zoogman, J. Fabbri, S. -W. Chan, Y. Zhu, L. E. Brus, M. L. Steigerwald, Adv. Funt. Mater. 15, 1595-1602, (2005).
  • J. S. Suehle, E. M. Vogel, M. D. Edelstein et al., “Challenges of high-k gate dielectrics for future MOS devices,” in Proceedings of the 6th International Symposium on Plasma Process-Induced Damage, pp. 90–93, IEEE Computer Society Press, Monterey, Calif, USA, 2001.
  • J. Robertson, Solid-State Electron. 49, 283-293, (2005).
  • J. Robertson, Rep. Prog.Phys. 69, 327 (2006).
  • J. Robertson, Rep. Prog. Phys. 69, 327-396, (2006)
  • J. Robertson, J. Vac. Sci. Technol. B 18, 1785 (2000).
  • J. Robertson, J. Rep. Prog. Phys. 69, 327 (2006).
  • J. Robertson, Eur. Phys. J. Appl. Phys. 28, 265-291 (2004).
  • J. Robertson, "High dielectric constant oxides," The EUROPEAN PHYSICAL JOURNAL APPLIED PHYSICS, vol. 28, pp. 265-291, 2004.
  • J. R. Hauser and K. Ahmed, AIP Conf. Proc. 449, p. 235-239 (1998).
  • J. Koch, K. Seidel, W. Weinreich, S. Riedel, J. -C. Chiang, V. Beyer, Microelectron. Eng. 109, 148-151, (2013).
  • J. H. Jun, D. J. Choi, K. H. Kim, K. Y. Oh and C. J. Hwang, Jpn. J. Appl. Phys. 42, 3519-3522, (2003)
  • J. C. C. JUNGWOO OH, "Thermal Desorption of Ge Native Oxides and the Loss of Ge from the surface.pdf," Journal of ELECTRONIC MATERIALS, vol. 33, 2004.
  • J. Bardeen and W. H. Brattain, “The transistor, a semi-conductor triode,” Phys. Rev., vol. 74, no. 2, pp. 230–231, Jul. 1948.
  • J. B. Kim, D. R. Kwon, K. Chakrabarti, C. Lee, K. Y. Oh, and J. H. Lee, J. Appl. Phys. 92, 6739 (2002).
  • Irisawa, T., et al. High performance multi-gate pMOSFETs using uniaxiallystrained SGOI channels. in IEEE International Electron Devices Meeting, Tech. Dig. 2005.
  • Irie, H., et al. In-plane mobility anisotropy and universality under uni-axial strains in n- and p-MOS inversion layers on (100), (110), and (111) Si. In IEEE International Electron Devices Meeting, Tech. Dig. 2004.
  • I.-H. Y. Jae Ho Lee, Sang Young Lee, and Cheol Seong Hwang, "Phase control of HfO2-based dielectric films for higher-k materials," Journal of Vaccum Sience & Technology B, vol. 32, 2014.
  • I. Barin, Thermochemical Data of Pure Substances, Third ed. vol. I, II. New York: NY : VCH Publishers, Inc., 1995.
  • Hutin, L., et al. 20nm gate length trigate pFETs on strained SGOI for high performance CMOS. in Symposium on VLSI Technology, Tech. Dig. 2010.
  • H.J. Garrett, Am. Ceram. Soc. Bull. 42, 201 (1963).
  • H.B. Michaelson, J. Appl. Phys. 48 (1977) 4729.
  • H. S. Jung, S. H. Jeon, H. K. Kim, I. H. Yu, S. Y. Lee, J. Lee, Y. J. Chung, D. Y. Cho, N. I. Lee, T. J. Park, J. H. Choi, S. Han, C. S. Hwang, ECS J. Sol. Sta. Sci. and Technol. 1 (2) N33-N37, (2012).
  • H. N. Alshareef, H. F. Luan, K. Choi, H. R. Harris, H. C. Wen, M. A. Quevedo-Lopez, P. Majhi, and B. H. Lee, Appl. Phys. Lett. 88, 112114 (2006).
  • H. Matsubara, T. Sasada, M. Takenaka, and S. Takagi, "Evidence of low interface trap density in GeO[sub 2]⁄Ge metal-oxide-semiconductor structures fabricated by thermal oxidation," Applied Physics Letters, vol. 93, p. 032104, 2008.
  • H. K. Kim, I. -H. Yu, J. H. Lee, T. J. Park, and C. S. Hwang, Appl. Phys. Lett. 101, 172910, (2012).
  • H. K. Chi On Chui, Paul C. McIntyre, and Krishna C. Saraswat, "Atomic Layer Deposition of High-k Dielectric for Germanium MOS Applications—Substrate Surface Preparation," IEEE ELECTRON DEVICE LETTERS, vol. 25, MAY 2004.
  • H. Ikeda, S. Goto, K. Honda, M. Sakashita, A. Sakai, S. Zaim and Y. Yasuda, Jpn. J. Appl. Phys. 41, 2476-2479, (2002)
  • H. -S. Jung, S. -H. Rha, S. Y. Lee, H. K. Kim, D. H. Kim, K. H. Oh, and C. S. Hwang, IEEE Trans. Electron. Devices, 58, 7, (2011).
  • H. -S. Jung, J. H. Jang, D. -Y. Cho, S. -H. Jeon, H. K. Kim, S. Y. Lee andC. S. Hwang, Electrochem. Solid-State Lett. 14, G17, (2011)
  • Gray, P.V. and Brown, D.M., Density of SiO2-Si interface states, Appl. Phys. Lett. 8, 31, 1966.
  • Gamiz, F., et al., Surface roughness at the Si-SiO2 interfaces in fully depleted siliconon- insulator inversion layers. Journal of Applied Physics, 1999.86(12): p. 6854-6863.
  • Gamiz, F., et al., Electron mobility in extremely thin single-gate silicon-oninsulator inversion layers. Journal of Applied Physics, 1999. 86(11): p.6269-6275.
  • Gamiz, F., J.B. Roldan, and J.A. Lopez-Villanueva, Phonon-limited electron mobility in ultrathin silicon-on-insulator inversion layers. Journal of Applied Physics, 1998. 83(9): p. 4802-4806.
  • G.D. Wilk, R.M. Wallace, J.M. Anthony, J. Appl. Phys. 89 (2001) 5243.
  • G. G. Fountain, R. A. Rudder, S. V. Hattangady, D. J. Vitkavage, R. J. Markunas, and J. B. Posthill, “Electrical and microstructural characterization of an ultra thin silicon inter layer used in a silicon dioxide/germanium based MIS structure,” Electron. Lett., vol. 24, no. 16, pp. 1010–1011, Aug. 1988.
  • G. Dong and Y. Qiu, “Pentacene thin-film transistors with Ta2O5 as the gate dielectric,” Journal of the Korean Physical Society, vol. 54, no. 1, pp. 493–497, 2009.
  • G. D. Wilk, R.M. Wallace, and J. M. Anthony, J. Appl. Phys. 89, 5243 (2001).
  • G. D. Wilk, R. M. Wallace, J. M. Anthony, J. Appl. Phys. 89, 5243 (2001).
  • G miz, F., et al., Electron transport in silicon-on-insulator devices. Solid-State Electronics, 2001. 45(4): p. 613-620.
  • Fu, H.S. and Sah, C.T., Theory and experiments on surface 1/ f noise, IEEE Trans. Electron Dev.,ED-19, 273, 1972.
  • Fleetwood, D.M., “Border traps in MOS devices,” IEEE Trans. Nucl. Sci. 39, 269, 1992.
  • Fleetwood, D.M., Winokur, P.S., Reber Jr., R.A., Meisenheimer, T.L., Schwank, J.R., Shaneyfelt, M.R.,and Riewe, L.C. “Effects of oxide traps, interface traps, and border traps on MOS devices,” J. Appl. Phys. 73, 5058, 1993 ; Fleetwood, D.M., Fast and slow border traps in MOS devices, IEEE Trans. Nucl. Sci. 43, 779, 1996.
  • Feigl, F.J., Fowler, W.B. and Yip, K.L., Oxygen vacancy model for the E1′ center in SiO2, Solid State Commun. 14, 225, 1974.
  • F. I. Chi On Chui, and Krishna C. Saraswat, "Nanoscale Germanium MOS DielectricsPart I - Germanium oxynitrides," IEEE TRANSACTIONS ON ELECTRON DEVICES, vol. 53, 2006.
  • F. Deng, R. A. Johnson, P. M. Asbeck, S. S. Lau, W. B. Dubbelday, T. Hsiao and J. Woo, J. Appl. Phys. 81, 12, (1997)
  • F. C. Chiu, S. Y. Chen, C. H. Chen, H. W. Chen, H. S. Huang, and H. L. Hwang, “Interfacial and electrical characterization in metal-oxide-semiconductor field-effect transistors with CeO2 gate dielectric,” Japanese Journal of Applied Physics, vol. 48, no. 4, Article ID 04C014, 2009.
  • Esseni, D., et al., Low field electron and hole mobility of SOI transistors fabricated on ultrathin silicon films for deep submicrometer technology application. IEEE Transactions on Electron Devices, 2001. 48(12): p. 2842-2850.
  • E. P. Gusev, E. Cartier, D. A. Buchanan, M. Gribelyuk, and M. Copel, Microelectron. Eng. 59, 341 (2001).
  • E. Miranda, J. Molina, Y. Kim, H. Iwai, Microelectron. Reliab. 45, 1365-1369, (2005)
  • E. J. Nowak, "Maintaining the benefits of CMOS scaling when scaling bogs down," IBM Journal of Research and Development, vol. 46, pp. 169-180, 2002.
  • E. Gusev, C. Cabral, M. Copel Jr., C.D. Emic, M. Gribelyuk, Ultrathin HfO2 films growth on silicon by atomic layer deposition for advanced gate dielectrics applications, Microelectron.Eng. 69, 145–151 (2003).
  • Doyle, et al, “Tri-Gate Fully Depleted CMOS Transistors”, VLSI Technology Symposium, 2003, p. 133-4.
  • Degraeve, R., Groeseneken, G., Bellens, R., Ogier, J.L., Depas, M., Roussel, Ph., and Maes, H.E., Newinsights in the relation between electron trap generation and the statistical properties of oxide breakdown, IEEE Trans. Electron Dev. 45, 904, 1998.
  • Degraeve, R., Groeseneken, G., Bellens, R., Depas, M., and Maes, H.E., A consistent model for the thickness dependence of intrinsic breakdown in ultra-thin oxides, IEEE IEDM Tech. Dig. 863, 1995.
  • Deal, B.E., Standardized terminology for oxide charges associated with thermally oxidized silicon, IEEE Trans. Electron Dev. ED-27, 606, 1980.
  • Deal, B.E., Sklar, M., Grove, A.S., and Snow, E.H., Characteristics of the surface-state charge (QSS) of thermally oxidized silicon J. Electrochem. Soc. 114, 266, 1967. thermally oxidized silicon J. Electrochem. Soc. 114, 266, 1967.
  • Deal, B.E., Current understanding of charges in thermally oxidized silicon structure, J. Electrochem.Soc. 121, 198C, 1974.
  • D. P. Brunco, A. Dimoulas, N. Boukos et al., “Materials and electrical characterization of molecular beam deposited CeO2 and CeO2/HfO2 bilayers on germanium,” Journal of Applied Physics, vol. 102, no. 2, Article ID 024104, 2007.
  • D. Misra, "Si-SiO2 Interface to High-k-Ge/III-V Interface: Passivation and Reliability," ECS Transactions, vol. 53, pp. 69-84, 2013.
  • D. J. Hymes and J. J. Rosenberg, “Growth and materials characterization of native germanium oxynitride thin films on germanium,”J.Electrochem. Soc., vol. 135, no. 4, pp. 961–965, Apr. 1988.
  • D. Hisamoto, W.-C. Lee, J. Kedzierski, H. Takeuchi, K. Asano, C. Kuo, E. Anderson, T.-J. King, J. Bokor, and C. Hu, IEEE Trans. Electron Devices, 47, 12 , p. 2320-2325 (2000).
  • D. H. Triyoso, R. I. Hegde, J. K. Schaeffer, D. Roan, P. J. Tobin et al, Appl. Phys. Lett.88, 222901, (2006).
  • D. G. Schlom, S. Guha, and S. Datta, MRS Bulletin 33. 1017 (2008).
  • D. -Y. Cho, H. S. Jung, I. -H. Yu, J. H. Yoon, H. K. Kim, S. Y. Lee, S. H. Jeon, S. Han, J. H. Kim, T. J. Park, B. -G. Park and C. S. Hwang, Chem.Mater. 24, 3534-3543, (2012).
  • Collaert, N., et al. Performance Enhancement of MUGFET Devices Using Super Critical Strained-SOI (SC-SSOI) and CESL. in Symposium on VLSI Technology, Tech. Dig. 2006.
  • Colinge, J.P., Silicon-on-insulator technology: Materials to VLSI, 3rd edition. 2004: Kluwer academic publishers, USA.
  • Christensson, S., Lundstrom, I., and Svensson, C., Low-frequency noise in MOS transistors: I-theory,” Solid-State Electron. 11, 797, 1968.
  • Caplan P.J., Poindexter E.H., Deal B.E., and Razouk, R.R., ESR centers, interface states, and oxide fixed charge in thermally oxidized silicon wafers, J. Appl. Phys. 50, 5847, 1979.
  • C.R. Aita, M.D. Wiggins, R. Whig, C.M. Scanlan, M. Gajdardziska-Josifovska, J. Appl. Phys. 79, 1176, (1996).
  • C.D. Young, K. Akaravadar, M.O. Baykan, K. Matthews, I. Ok, T. Ngai, K.-W. Ang, J. Pater, C.E. Smith, M.M. Hussain, P. Majhi, C. Hobbs, Solid State Electron. 78, p. 2-10 (2012).
  • C. Y. Wong, J. Y. Sun, Y. Taur, C. S. Oh, R. Angelucci, B. Davari, Tech. Dig. – Int. Electron Devices Meet. 1988, 238.
  • C. X. Li, C. H. Leung, P. T. Lai, and J. P. Xu, "Effects of fluorine incorporation on the properties of Ge p-MOS capacitors with HfTiON dielectric," Solid-State Electronics, vol. 54, pp. 675-679, 2010.
  • C. R. Manoj, Meenakshi. N, Dhanya V. and V. Ramgopal Rao, Proc. Int. Workshop Phys. Semicond. Devices, 134 -137 (2007)
  • C. Lai et al., "A Novel Hybrid High-k/Metal Gate Process for 28nm High Performance CMOSFETs," IEDM Tech. Dig., pp. 655-658, 2009.
  • C. Gustin , A. Mercha , J. Loo , V. Subramanian , B. Parvais , M. Dehan and S.Decoutere, IEEE Electron Device Lett. 27, 10, 846-848 (2006)
  • Burstein, E. and Lundquist, S., Tunneling Phenomena in Solids, Plenum Press, New York, 1969.
  • B. H. Lee, L. Kang, R. Nieh, W. J. Qi, and J. C. Lee, “Thermal stability and electrical characteristics of ultrathin hafnium oxide gate dielectric reoxidized with rapid thermal annealing,” Applied Physics Letters, vol. 76, no. 14, pp. 1926–1928, 2000.
  • Anisur Rahman, Gerhard Klimech, and Mark Lundstrom, “Novel channel materials for ballistic nanoscale MOSFETs-bandstructure effects,” Tech. Dig. Int. Electron Devices Meet. 2005, pp.615, 2005.
  • Anisur Rahman, Avik Ghosh, and Mark Lundstrom, “Assessment of Ge nMOSFETs by Quantum Simulation,” Tech. Dig. Int. Electron Devices Meet. 2003, pp. 471, 2003.
  • Abhijit Pether, Tejas Krishnamohan, Donghyun Kim, Saeroonter Oh, H. –S. Philip Wong, Yoshio Nishi and Hrishna C. Saraswat, “Investigation of the performance limits of III-V double-gate n-MOSFETs,” Tech. Dig. Int. Electron Devices Meet. 2005, pp. 619, 2005.
  • A.M. Mahajan, L.S. Patil, J.P. Bange, D.K. Gautam, Vacuum, 79, p. 194-202 (2005).
  • A.G.Karaulov, N.M. Taranukha, Ukrainian Scientific-Research Institute of Refractories. Translated from Ogneupory, 4, pp.43-47, (1979).
  • A.A.Dakhel,“CharacterisationofNd2O3thickgatedielectric for silicon,” Physica Status Solidi, vol. 201, no. 4, pp. 745–755, 2004.
  • A. Veloso, J. W. Lee, E. Simoen, L.- . Ragnarsson, H. Arimura, M. J. Cho, G. Boccardi, A. Thean and N. Horiguchia, ECS Trans. 61, p. 225-235 (2014).
  • A. V. Rzhanov and I. G. Neizvestny, “Germanium MIS structures,” Thin Solid Films, vol. 58, no. 1, pp. 37–42, Mar. 1979.
  • A. P. Milanov, MOCVD and ALD of rare earth containing multifunctional materials: from precursor chemistry to thinfilm deposition and applications, Ph.D. thesis, Ruhr University Bochum, Germany, 2010.
  • A. Ortiz-Conde, F.J. Garc a S nchez, J.J. Liou, A. Cerdeira, M. Estrada and Y. Yue, Microelectron. Reliab. 42, p. 583-596 (2002).
  • A. N. S. V. Ushakov, Y. Yang, S. Stemmer, K. Kukli, M. Ritala, M. A. Leskel , P. Fejes, A. Demkov, C. Wang, B.-Y. Nguyen, D. Triyoso, and P. Tobin, "Crystallization in hafnia- and zirconia-based systems," phys. stat. sol., vol. 241, pp. 2268-2278, 2004.
  • A. Fissel, Z. Elassar, O. Kirfel, E. Bugiel, M. Czernohorsky, and H. J. Osten, “Interface formation during molecular beam epitaxial growth of neodymium oxide on silicon,” Journal of Applied Physics, vol. 99, no. 7, Article ID 074105, 2006.
  • A. Dimoulas, E. Gusev, P. McIntyre, M. Heyns (Eds.), Advanced Gate Stacks for High- Mobility Semiconductors, Springer, Berlin Heidelberg, 2007.
  • . V. V. Afanas’ev, Y. G. Fedorenko, and A. Stesmans, Appl. Phys. Lett., 88, 132111 (2006).
  • . T. Tabata, C. Lee, K. Kita, and A. Toriumi, ECS Transactions, 33(3), 375 (2010).
  • . S. Van Elshocht, M. Caymax, T. Conard, S. De Gendt, I. Hoflijk, M. Houssa, B. De Jaeger, J. Van Steenbergen, M. Heyns, and M. Meuris, Appl. Phys. Lett., 88, 141904 (2006).
  • . S. Takagi and M. Takenada, ECS Transactions, 35(3), 279 (2011).
  • . R. Zhang, T. Iwasaki, N. Taoka, M. Takenaka, and S. Takagi, Appl. Phys. Lett., 98, 112902 (2011).
  • . R. Garg, D. Misra, and S. Guha, IEEE Transaction on Device and Materials Reliability, 6, 455 (2006). 20. D. Misra, R. Garg, P. Srinivasan, N. Rahim, N. A. Chowdhury, Materials Science and Semiconductor Processing, 9, 741 (2006).
  • . P. Broqvist, J. F. Binder, and A. Pasquarello, ECS Transactions, 33(3), 123 (2010).
  • . N. Wu, Q. Zhang, C. Zhu, C. C. Yeo, S. J. Whang, D. S. H. Chan, A. Y. Du, N. Balasubramanian, M. F. Li, A. Chin, J. K. O. Sin, and D. L. Kwong, IEEE Electron Device Lett., 25, 631 (2004).
  • . N. Rahim and D. Misra, App. Phys. Lett., 92, 023511 (2008).
  • . M. Houssa, G. Pourtois, M. Caymax, M. Meuris, M. M. Heyns, V. V. Afanas’ev, and A. Stesmans, Appl. Phys. Lett., 93, 161909 (2008).
  • . K. Hirayama, K. Yoshino, R. Ueno, Y. Iwamura, H. Yang, D. Wang, and H. Nakashima, Solid-State Electronics, 60, 122, (2011).
  • . J. J.-H. Chen, N. A. Bojarczuk, J. H. Shang, M. Copel, J. B. Hannon, J. Karasinski, E. Preisler, S. K. Banerjee, and S. Guha, IEEE Trans. Electron Devices, 51, 1441 (2004).
  • . H. Shang, H. Okorn-Schmidt, K. K. Chan, M. Copel, J. A. Ott, P. M. Kozlowski, S. E. Steen, S. A. Cordes, H.-S. P. Wong, E. C. Jones, and W. E. Haensch, Tech. Dig. – Int. Electron Device Meet., p. 441 (2002).
  • . D. Kuzum, A. J. Pethe, T. Krishnamohan, Y. Oshima, Y. Sun, J. P. McVittie, P. A. Pianetta, P. C. McIntyre, and K. C. Saraswat, IEEE IEDM Technical Digest, 723 (2007).
  • . C.O. Chui, S. Ramanathan, B. B. Triplett, P. C. McIntyre, and K. C. Saraswat, IEEE Electron Device Lett., 23, 473 (2002).
  • . B. De Jaeger, R. Bonzom, F. Leys, O. Richard, J. Van Steenbergen, G. Winderickx, E. Van Moorhem, G. Raskin, F. Letertre, T. Billon, M. Meuris, and M. Heyns, Microelectronic Engineering, 80, 26 (2005).
  • . A. Ritenour, A. Khakifirooz, D. A. Antoniadis, R. Z. Lei, W. Tsai, A. Dimoulas, G. Mavrou, and Y. Panayiotatos, Appl. Phys. Lett., 88, 132107 (2006). 16. O. J. Gregory, E. E. Crisman, L. Pruitt, D. J. Hymes, and J. J. Rosenberg, Proc. Mater. Res. Soc. Symp., 76, 307 (1987).
  • . A. Dimoulas, G. Mavrou, G. Vellianitis, E. Evangelou, N. Boukos, M. Houssa, and M. Caymax, App. Phys. Lett., 86, 032908 (2005).