박사

Fabrication and Characterization of GaN-on-Si based RF and Power Devices = GaN-on-Si 기반의 고주파/고전력 소자의 제작 및 특성 분석

이민성 2016년
논문상세정보
' Fabrication and Characterization of GaN-on-Si based RF and Power Devices = GaN-on-Si 기반의 고주파/고전력 소자의 제작 및 특성 분석' 의 주제별 논문영향력
논문영향력 선정 방법
논문영향력 요약
주제
  • 응용 물리
  • AlGaN/GaN HEMTs
  • Fluoride plasma treatment
  • Heterostructure field-effect transistor (HFET)
  • Individually source via (ISV)
  • amplifier
  • normally-off
  • power density
  • recessed metal-insulator-semiconductor (MIS) structure
  • x-band
동일주제 총논문수 논문피인용 총횟수 주제별 논문영향력의 평균
4,736 0

0.0%

' Fabrication and Characterization of GaN-on-Si based RF and Power Devices = GaN-on-Si 기반의 고주파/고전력 소자의 제작 및 특성 분석' 의 참고문헌

  • Z. Fan, S. N. Mohammad, W. Kim, . Aktas, A. Botchkarev, K. Suzue, et al., "Ohmic contacts and Schottky barriers to n-GaN," Journal of Electronic Materials, vol. 25, pp. 1703-1708, 1996.
  • Y.-F. Wu, B. Keller, S. Keller, D. Kapolnek, S. Denbaars, and U. Mishra, "Measured microwave power performance of AlGaN/GaN MODFET," Electron Device Letters, IEEE, vol. 17, pp. 455-457, 1996.
  • Y.-F. Wu, A. Saxler, M. Moore, R. Smith, S. Sheppard, P. Chavarkar, et al., "30-W/mm GaN HEMTs by field plate optimization," Electron Device Letters, IEEE, vol. 25, pp. 117-119, 2004.
  • Y. Wang, M. Wang, B. Xie, C. P. Wen, J. Wang, Y. Hao, et al., "High- Performance Normally-Off MOSFET Using a Wet Etching-Based Gate Recess Technique," Electron Device Letters, IEEE, vol. 34, pp. 1370- 1372, 2013.
  • Y. Uemoto, M. Hikita, V. Ueno, H. Matsuo, H. Ishida, M. Yanagihara, et al., "Gate injection transistor (GIT)—A normally-off AlGaN/GaN power transistor using conductivity modulation," Electron Devices, IEEE Transactions on, vol. 54, pp. 3393-3399, 2007.
  • Y. Okinaka and M. Hoshino, "Some recent topics in gold plating for electronics applications," Gold Bulletin, vol. 31, pp. 3-13, 1998.
  • Y. Ohno and M. Kuzuhara, "Application of GaN-based heterojunction FETs for advanced wireless communication," Electron Devices, IEEE Transactions on, vol. 48, pp. 517-523, 2001.
  • Y. Ling, H. Gui-Zhou, H. Yue, M. Xiao-Hua, Q. Si, Y. Li-Yuan, et al., "Electric-stress reliability and current collapse of different thickness SiNx passivated AlGaN/GaN high electron mobility transistors," Chinese Physics B, vol. 19, p. 047301, 2010.
  • Y. Hori, C. Mizue, and T. Hashizume, "Process conditions for improvement of electrical properties of Al2O3/n-GaN structures prepared by atomic layer deposition," Japanese Journal of Applied Physics, vol. 49, p. 080201, 2010.
  • Y. Dora, A. Chakraborty, L. McCarthy, S. Keller, S. DenBaars, and U. K. Mishra, "High breakdown voltage achieved on AlGaN/GaN HEMTs with integrated slant field plates," Electron Device Letters, IEEE, vol. 27, pp. 713-715, 2006.
  • Y. Cai, Y. Zhou, K. M. Lau, and K. J. Chen, "Control of threshold voltage of AlGaN/GaN HEMTs by fluoride-based plasma treatment: From depletion mode to enhancement mode," Electron Devices, IEEE Transactions on, vol. 53, pp. 2207-2215, 2006.
  • X. Hu, G. Simin, J. Yang, M. A. Khan, R. Gaska, and M. Shur, "Enhancement mode AlGaN/GaN HFET with selectively grown pn junction gate," Electronics Letters, vol. 36, pp. 753-754, 2000.
  • W. Saito, Y. Takada, M. Kuraguchi, K. Tsuda, and I. Omura, "Recessed-gate structure approach toward normally off high-voltage AlGaN/GaN HEMT for power electronics applications," Electron Devices, IEEE Transactions on, vol. 53, pp. 356-362, 2006.
  • W. Saito, Y. Takada, M. Kuraguchi, K. Tsuda, I. Omura, T. Ogura, et al., "High breakdown voltage AlGaN-GaN power-HEMT design and high current density switching behavior," Electron Devices, IEEE Transactions on, vol. 50, pp. 2528-2531, 2003.
  • W. Saito, T. Nitta, Y. Kakiuchi, Y. Saito, K. Tsuda, I. Omura, et al., "Suppression of dynamic on-resistance increase and gate charge measurements in high-voltage GaN-HEMTs with optimized field-plate structure," Electron Devices, IEEE Transactions on, vol. 54, pp. 1825- 1830, 2007.
  • W. Lanford, T. Tanaka, Y. Otoki, and I. Adesida, "Recessed-gate enhancement-mode GaN HEMT with high threshold voltage," Electronics Letters, vol. 41, pp. 449-450, 2005.
  • W. Choi, H. Ryu, N. Jeon, M. Lee, H.-Y. Cha, and K.-S. Seo, "Improvement of Vth instability in normally-off GaN MIS-HEMTs employing PEALD-SiNx as an interfacial layer," IEEE Electron Device Lett, vol. 35, pp. 30-32, 2014.
  • V. R. Manikam and K. Y. Cheong, "Die attach materials for high temperature applications: a review," Components, Packaging and Manufacturing Technology, IEEE Transactions on, vol. 1, pp. 457-478, 2011.
  • U. K. Mishra, L. Shen, T. E. Kazior, and Y.-F. Wu, "GaN-based RF power devices and amplifiers," Proceedings of the IEEE, vol. 96, pp. 287-305, 2008.
  • T.-L. Wu, D. Marcon, B. De Jaeger, M. Van Hove, B. Bakeroot, D. Lin, et al., "The impact of the gate dielectric quality in developing Au-free D-mode and E-mode recessed gate AlGaN/GaN transistors on a 200mm Si substrate," in Power Semiconductor Devices & IC's (ISPSD), 2015 IEEE 27th International Symposium on, 2015, pp. 225-228.
  • T.-H. Hung, S. Krishnamoorthy, M. Esposto, D. N. Nath, P. S. Park, and S. Rajan, "Interface charge engineering at atomic layer deposited dielectric/III-nitride interfaces," Applied Physics Letters, vol. 102, p. 072105, 2013.
  • T. Paskova, D. Hanser, and K. R. Evans, "GaN substrates for III-nitride devices," Proceedings of the IEEE, vol. 98, pp. 1324-1338, 2010.
  • T. Paskova and K. R. Evans, "GaN substrates—Progress, status, and prospects," Selected Topics in Quantum Electronics, IEEE Journal of, vol. 15, pp. 1041-1052, 2009.
  • T. Palacios, C.-S. Suh, A. Chakraborty, S. Keller, S. DenBaars, and U. Mishra, "High-performance E-mode AlGaN/GaN HEMTs," Electron Device Letters, IEEE, vol. 27, pp. 428-430, 2006.
  • T. Oka and T. Nozawa, "AlGaN/GaN recessed MIS-gate HFET with high-threshold-voltage normally-off operation for power electronics applications," Electron Device Letters, IEEE, vol. 29, pp. 668-670, 2008.
  • T. Kuech, R. Collins, D. Smith, and C. Mailhiot, "Field‐effect transistor structure based on strain‐induced polarization charges," Journal of applied physics, vol. 67, pp. 2650-2652, 1990.
  • T. Hashizume, S. Ootomo, and H. Hasegawa, "Suppression of current collapse in insulated gate AlGaN/GaN heterostructure field-effect transistors using ultrathin Al2O3 dielectric," Applied physics letters, vol. 83, pp. 2952-2954, 2003.
  • T. Hashizume and H. Hasegawa, "Effects of nitrogen deficiency on electronic properties of AlGaN surfaces subjected to thermal and plasma processes," Applied surface science, vol. 234, pp. 387-394, 2004.
  • T. Green, "Gold etching for microfabrication," Gold Bulletin, vol. 47, pp. 205-216, 2014.
  • T. C. Chai, X. Zhang, J. H. Lau, C. S. Selvanayagam, P. Damaruganath, Y. Y. G. Hoe, et al., "Development of large die fine-pitch Cu/low- FCBGA package with through silicon via (TSV) interposer," Components, Packaging and Manufacturing Technology, IEEE Transactions on, vol. 1, pp. 660-672, 2011.
  • S.-H. Park and S.-L. Chuang, "Comparison of zinc-blende and wurtzite GaN semiconductors with spontaneous polarization and piezoelectric field effects," Journal of Applied Physics, vol. 87, pp. 353-364, 2000.
  • S. Zhou, B. Cao, and S. Liu, "Dry etching characteristics of GaN using Cl 2/BCl 3 inductively coupled plasmas," Applied Surface Science, vol. 257, pp. 905-910, 2010.
  • S. W. King, "Plasma enhanced atomic layer deposition of SiNx: H and SiO2," Journal of Vacuum Science & Technology A, vol. 29, p. 041501, 2011.
  • S. Vitanov, V. Palankovski, S. Maroldt, R. Quay, S. Murad, T. R dle, et al., "Physics-based modeling of GaN HEMTs," Electron Devices, IEEE Transactions on, vol. 59, pp. 685-693, 2012.
  • S. T. Walsh, R. L. Boylan, C. McDermott, and A. Paulson, "The semiconductor silicon industry roadmap: epochs driven by the dynamics between disruptive technologies and core competencies," Technological Forecasting and Social Change, vol. 72, pp. 213-236, 2005.
  • S. Sheppard, K. Doverspike, W. Pribble, S. Allen, J. Palmour, L. Kehias, et al., "High-power microwave GaN/AlGaN HEMTs on semiinsulating silicon carbide substrates," Electron Device Letters, IEEE, vol. 20, pp. 161-163, 1999.
  • S. Ruvimov, Z. Liliental‐Weber, J. Washburn, K. Duxstad, E. Haller, Z. F. Fan, et al., "Microstructure of Ti/Al and Ti/Al/Ni/Au Ohmic contacts for nGaN," Applied Physics Letters, vol. 69, pp. 1556-1558, 1996.
  • S. Piotrowicz, E. Morvan, R. Aubry, S. Bansropun, T. Bouvet, E. Chartier, et al., "State of the art 58W, 38% PAE X-Band AlGaN/GaN HEMTs microstrip MMIC amplifiers," in IEEE Compound Semiconductor IC Symposium CSICS 2008, 2008, pp. pp 1-4.
  • S. P. Pacheco, L. P. Katehi, and C.-C. Nguyen, "Design of low actuation voltage RF MEMS switch," in Microwave Symposium Digest. 2000 IEEE MTT-S International, 2000, pp. 165-168.
  • S. P. DenBaars, D. Feezell, K. Kelchner, S. Pimputkar, C.-C. Pan, C.-C. Yen, et al., "Development of gallium-nitride-based light-emitting diodes (LEDs) and laser diodes for energy-efficient lighting and displays," Acta Materialia, vol. 61, pp. 945-951, 2013.
  • S. Olson and K. Hummler, "TSV reveal etch for 3D integration," in 3D Systems Integration Conference (3DIC), 2011 IEEE International, 2012, pp. 1-4.
  • S. Nakamura and S. F. Chichibu, Introduction to nitride semiconductor blue lasers and light emitting diodes: CRC Press, 2000.
  • S. N. Mohammad, A. Salvador, and H. Morkoc, "Emerging gallium nitride based devices," Proceedings of the IEEE, vol. 83, pp. 1306- 1355, 1995.
  • S. Liu, S. Yang, Z. Tang, Q. Jiang, C. Liu, M. Wang, et al., "Al 2 O 3/AlN/GaN MOS-Channel-HEMTs With an AlN Interfacial Layer," Electron Device Letters, IEEE, vol. 35, pp. 723-725, 2014.
  • S. Liu, G. Dai, and E. Chang, "Improved reliability of GaN HEMTs using N2 plasma surface treatment," in Physical and Failure Analysis of Integrated Circuits (IPFA), 2015 IEEE 22nd International Symposium on the, 2015, pp. 378-380.
  • S. Kim, B. Bang, F. Ren, J. D’entremont, W. Blumenfeld, T. Cordock, et al., "SiC via holes by laser drilling," Journal of electronic materials, vol. 33, pp. 477-480, 2004.
  • S. Huang, S. Yang, J. Roberts, and K. J. Chen, "Threshold voltage instability in Al2O3/GaN/AlGaN/GaN metal–insulator–semiconductor high-electron mobility transistors," Japanese journal of applied physics, vol. 50, p. 110202, 2011.
  • S. Huang, Q. Jiang, K. Wei, G. Liu, J. Zhang, X. Wang, et al., "Hightemperature low-damage gate recess technique and ozone-assisted ALD-grown Al 2 O 3 gate dielectric for high-performance normallyoff GaN MIS-HEMTs," in Electron Devices Meeting (IEDM), 2014 IEEE International, 2014, pp. 17.4. 1-17.4. 4.
  • S. Hoshi, M. Itoh, T. Marui, H. Okita, Y. Morino, I. Tamai, et al., "12.88 W/mm GaN high electron mobility transistor on silicon substrate for high voltage operation," Applied Physics Express, vol. 2, p. 061001, 2009.
  • S. Ganguly, J. Verma, G. Li, T. Zimmermann, H. Xing, and D. Jena, "Presence and origin of interface charges at atomic-layer deposited Al2O3/III-nitride heterojunctions," Applied Physics Letters, vol. 99, p. 193504, 2011.
  • S. C. Binari, P. Klein, and T. E. Kazior, "Trapping effects in GaN and SiC microwave FETs," Proceedings of the IEEE, vol. 90, pp. 1048- 1058, 2002.
  • R. W. Keyes, "Fundamental limits of silicon technology," Proceedings of the IEEE, vol. 89, pp. 227-239, 2001.
  • R. Vetury, N. Q. Zhang, S. Keller, and U. K. Mishra, "The impact of surface states on the DC and RF characteristics of AlGaN/GaN HFETs," Electron Devices, IEEE Transactions on, vol. 48, pp. 560-566, 2001.
  • R. Vetury, I. Smorchkova, C. Elsass, B. Heying, S. Keller, and U. Mishra, "Polarization induced 2DEG in MBE grown AlGaN/GaN HFETs: On the origin, DC and RF characterization," in MRS Proceedings, 2000, p. T2. 5.1.
  • R. Shul, L. Zhang, A. Baca, C. Willison, J. Han, S. Pearton, et al., "High-density plasma-induced etch damage of GaN," in MRS Proceedings, 1999, p. 271.
  • R. Shul, G. McClellan, S. Pearton, C. Abernathy, C. Constantine, and C. Barratt, "Comparison of dry etch techniques for GaN," Electronics Letters, vol. 32, pp. 1408-1409, 1996.
  • R. Shul, G. McClellan, S. Casalnuovo, D. Rieger, S. Pearton, C. Constantine, et al., "Inductively coupled plasma etching of GaN," Applied physics letters, vol. 69, pp. 1119-1121, 1996.
  • R. S. Pengelly, S. M. Wood, J. W. Milligan, S. T. Sheppard, and W. L. Pribble, "A review of GaN on SiC high electron-mobility power transistors and MMICs," Microwave Theory and Techniques, IEEE Transactions on, vol. 60, pp. 1764-1783, 2012.
  • R. Nagarajan, L. Ebin, L. Dayong, S. C. Seng, K. Prasad, and N. Balasubramanian, "Development of a novel deep silicon tapered via etch process for through-silicon interconnection in 3-D integrated systems," in 56th electronic components and technology conference, 2006, pp. 383-387.
  • R. Nagarajan, K. Prasad, L. Ebin, and B. Narayanan, "Development of dual-etch via tapering process for through-silicon interconnection," Sensors and Actuators A: Physical, vol. 139, pp. 323-329, 2007.
  • R. Lossy, A. Liero, O. Kr ger, J. W rfl, and G. Tr nkle, "Gallium nitride powerbar transistors with via holes fabricated by laser ablation," physica status solidi (c), vol. 3, pp. 482-485, 2006.
  • R. Li, Y. Lamy, W. Besling, F. Roozeboom, and P. Sarro, "Continuous deep reactive ion etching of tapered via holes for three-dimensional integration," Journal of Micromechanics and Microengineering, vol. 18, p. 125023, 2008.
  • R. J. Simms, J. W. Pomeroy, M. J. Uren, T. Martin, and M. Kuball, "Channel temperature determination in high-power AlGaN/GaN HFETs using electrical methods and Raman spectroscopy," Electron Devices, IEEE Transactions on, vol. 55, pp. 478-482, 2008.
  • R. J. Shul, L. Zhang, A. G. Baca, C. Willison, J. Han, S. Pearton, et al., "Inductively coupled plasma-induced etch damage of GaN pn junctions," Journal of Vacuum Science & Technology A, vol. 18, pp. 1139-1143, 2000.
  • R. Grundbacher, R. Lai, M. Nishimoto, T. Chin, Y. Chen, M. Barsky, et al., "Pseudomorphic InP HEMTs with dry-etched source vias having 190 mW output power and 40% PAE at V-band," Electron Device Letters, IEEE, vol. 20, pp. 517-519, 1999.
  • R. Figueroa, S. Spiesshoefer, S. Burkett, and L. Schaper, "Control of sidewall slope in silicon vias using SF6/O-2 plasma etching in a conventional reactive ion etching tool," Journal of Vacuum Science & Technology B, vol. 23, pp. 2226-2231, 2005.
  • R. Chu, A. Corrion, M. Chen, R. Li, D. Wong, D. Zehnder, et al., "1200-V normally off GaN-on-Si field-effect transistors with low dynamic on-resistance," Electron Device Letters, IEEE, vol. 32, pp. 632-634, 2011.
  • R. Brown, D. Macfarlane, A. Al-Khalidi, X. Li, G. Ternent, H. Zhou, et al., "A Sub-Critical Barrier Thickness Normally-Off AlGaN/GaN MOS-HEMT," Electron Device Letters, IEEE, vol. 35, pp. 906-908, 2014.
  • Q. Zhou, B. Chen, Y. Jin, S. Huang, K. Wei, X. Liu, et al., "High- Performance Enhancement-Mode Al 2 O 3/AlGaN/GaN-on-Si MISFETs With 626 MW/Figure of Merit," Electron Devices, IEEE Transactions on, vol. 62, pp. 776-781, 2015.
  • Q. Liu, L. Yu, S. Lau, J. Redwing, N. Perkins, and T. Kuech, "Thermally stable PtSi Schottky contact on n-GaN," Applied physics letters, vol. 70, pp. 1275-1277, 1997.
  • P.-C. Yeh, Y.-W. Lin, Y.-L. Huang, J.-H. Hung, B.-R. Lin, L. Yang, et al., "Threshold voltage controlled by gate area and gate recess in inverted trapezoidal trigate AlGaN/GaN MOS high-electron-mobility transistors with photoenhanced chemical and plasma-enhanced atomic layer deposition oxides," Applied Physics Express, vol. 8, p. 084101, 2015.
  • P. McGovern, J. Benedikt, P. J. Tasker, J. Powell, K. Hilton, J. Glasper, et al., "Analysis of DC-RF dispersion in AlGaN/GaN HFETs using pulsed IV and time-domain waveform measurements," in Microwave Symposium Digest, 2005 IEEE MTT-S International, 2005, p. 4 pp.
  • P. Javorka, "Fabrication and characterization of AlGaN/GaN high electron mobility transistors," Institute of Thin Films and Interfaces, 2004.
  • O. Ambacher, J. Smart, J. Shealy, N. Weimann, K. Chu, M. Murphy, et al., "Two-dimensional electron gases induced by spontaneous and piezoelectric polarization charges in N-and Ga-face AlGaN/GaN heterostructures," Journal of Applied Physics, vol. 85, p. 3222, 1999.
  • N.-Q. Zhang, S. Keller, G. Parish, S. Heikman, S. DenBaars, and U. Mishra, "High breakdown GaN HEMT with overlapping gate structure," Electron Device Letters, IEEE, vol. 21, pp. 421-423, 2000.
  • N.-C. Chen, C.-Y. Tseng, and H.-T. Lin, "Effect of annealing on sheet carrier density of AlGaN/GaN HEMT structure," Journal of Crystal Growth, vol. 311, pp. 859-862, 2009.
  • N. Ranganathan, L. Ebin, L. Linn, W. Lee, O. Navas, V. Kripesh, et al., "Integration of high aspect ratio tapered silicon via for through-silicon interconnection," in Electronic Components and Technology Conference, 2008. ECTC 2008. 58th, 2008, pp. 859-865.
  • N. Ranganathan, D. Y. Lee, L. Youhe, G.-Q. Lo, K. Prasad, and K. L. Pey, "Influence of Bosch etch process on electrical isolation of TSV structures," Components, Packaging and Manufacturing Technology, IEEE Transactions on, vol. 1, pp. 1497-1507, 2011.
  • N. Ranganathan, D. Lee, L. Ebin, N. Balasubramanian, K. Prasad, and K. Pey, "The development of a tapered silicon micro-micromachining process for 3D microsystems packaging," Journal of Micromechanics and Microengineering, vol. 18, p. 115028, 2008.
  • N. Miura, T. Nanjo, M. Suita, T. Oishi, Y. Abe, T. Ozeki, et al., "Thermal annealing effects on Ni/Au based Schottky contacts on n- GaN and AlGaN/GaN with insertion of high work function metal," Solid-State Electronics, vol. 48, pp. 689-695, 2004.
  • N. Maluf and K. Williams, Introduction to microelectromechanical systems engineering: Artech House, 2004.
  • N. Ikeda, R. Tamura, T. Kokawa, H. Kambayashi, Y. Sato, T. Nomura, et al., "Over 1.7 kV normally-off GaN hybrid MOS-HFETs with a lower on-resistance on a Si substrate," in Power Semiconductor Devices and ICs (ISPSD), 2011 IEEE 23rd International Symposium on, 2011, pp. 284-287.
  • N. Ikeda, J. Li, and S. Yoshida, "Normally-off operation power AlGaN/GaN HFET," in Power Semiconductor Devices and ICs, 2004. Proceedings. ISPSD'04. The 16th International Symposium on, 2004, pp. 369-372.
  • N. Braga, R. Mickevicius, R. Gaska, M. Shur, M. ASIF KHAN, and G. Simin, "Edge trapping mechanism of current collapse in III-N FETs," in International Electron Devices Meeting, 2004, pp. 815-818.
  • M.-S. Lee, D. Kim, S. Eom, H.-Y. Cha, and K.-S. Seo, "A Compact 30- W AlGaN/GaN HEMTs on Silicon Substrate With Output Power Density of 8.1 W/mm at 8 GHz," Electron Device Letters, IEEE, vol. 35, pp. 995-997, 2014.
  • M. Wang, Y. Wang, C. Zhang, B. Xie, C. P. Wen, J. Wang, et al., "900 V/1.6 Normally Off MOSFET on Silicon Substrate," Electron Devices, IEEE Transactions on, vol. 61, pp. 2035-2040, 2014.
  • M. Wang and K. J. Chen, "Off-state breakdown characterization in AlGaN/GaN HEMT using drain injection technique," Electron Devices, IEEE Transactions on, vol. 57, pp. 1492-1496, 2010.
  • M. W. Shin, "Thermal design of high-power LED package and system," in Asia-Pacific Optical Communications, 2006, pp. 635509- 635509-13.
  • M. Shimizu, G. Piao, M. Inada, S. Yagi, Y. Yano, and N. Akutsu, "ptype InGaN cap layer for normally off operation in AlGaN/GaN heterojunction field effect transistors," Japanese Journal of Applied Physics, vol. 47, p. 2817, 2008.
  • M. Schulz, "The end of the road for silicon?," Nature, vol. 399, pp. 729-730, 1999.
  • M. Peng, Y. Zheng, W. Luo, and X. Liu, "14.2 W/mm internallymatched AlGaN/GaN HEMT for X-band applications," Solid-State Electronics, vol. 64, pp. 63-66, 2011.
  • M. Musser, F. van Raay, P. Bruckner, W. Bronner, R. Quay, M. Mikulla, et al., "Individual source vias for GaN HEMT power bars," in Microwave Integrated Circuits Conference (EuMIC), 2013 European, 2013, pp. 184-187.
  • M. Micovic, A. Kurdoghlian, H. Moyer, P. Hashimoto, A. Schmitz, I. Milosavljevic, et al., "GaN MMIC technology for microwave and millimeter-wave applications," in Compound Semiconductor Integrated Circuit Symposium, 2005. CSIC'05. IEEE, 2005, p. 3 pp.
  • M. Micovic, A. Kurdoghlian, H. Moyer, P. Hashimoto, A. Schmitz, I. Milosavjevic, et al., "Ka-band MMIC power amplifier in GaN HFET technology," in Microwave Symposium Digest, 2004 IEEE MTT-S International, 2004, pp. 1653-1656.
  • M. Lin, Z. Ma, F. Huang, Z. F. Fan, L. Allen, and H. Morkoc, "Low resistance ohmic contacts on wide band‐gap GaN," Applied Physics Letters, vol. 64, pp. 1003-1005, 1994.
  • M. K. Kazimierczuk, RF power amplifier: John Wiley & Sons, 2014.
  • M. J. Uren, J. M reke, and M. Kuball, "Buffer design to minimize current collapse in GaN/AlGaN HFETs," Electron Devices, IEEE Transactions on, vol. 59, pp. 3327-3333, 2012.
  • M. Ishida, Y. Uemoto, T. Ueda, T. Tanaka, and D. Ueda, "GaN power switching devices," in Power Electronics Conference (IPEC), 2010 International, 2010, pp. 1014-1017.
  • M. Ishida, T. Ueda, T. Tanaka, and D. Ueda, "GaN on Si technologies for power switching devices," Electron Devices, IEEE Transactions on, vol. 60, pp. 3053-3059, 2013.
  • M. Hikita, M. Yanagihara, K. Nakazawa, H. Ueno, Y. Hirose, T. Ueda, et al., "AlGaN/GaN power HFET on silicon substrate with source-via grounding (SVG) structure," Electron Devices, IEEE Transactions on, vol. 52, pp. 1963-1968, 2005.
  • M. Higashiwaki, T. Mimura, and T. Matsui, "Enhancement-Mode AlN/GaN HFETs Using Cat-CVD SiN," Electron Devices, IEEE Transactions on, vol. 54, pp. 1566-1570, 2007.
  • M. Feng, S.-C. Shen, D. C. Caruth, and J.-J. Huang, "Device technologies for RF front-end circuits in next-generation wireless communications," Proceedings of the IEEE, vol. 92, pp. 354-375, 2004.
  • M. Fay, G. Moldovan, P. Brown, I. Harrison, J. Birbeck, B. Hughes, et al., "Structural and electrical characterization of AuTiAlTi/AlGaN/GaN ohmic contacts," Journal of applied physics, vol. 92, pp. 94-100, 2002.
  • L. Y. Ying, C. Premachandran, S. W. Yoon, L. Ebin, R. Nagarajan, and P. V. Ramana, "Characterization of AuSn solder in laser die attachment for photonic packaging applications," in Electronics Packaging Technology Conference, 2007. EPTC 2007. 9th, 2007, pp. 370-373.
  • L. Wang, F. M. Mohammed, and I. Adesida, "Differences in the reaction kinetics and contact formation mechanisms of annealed Ti⁄ Al⁄ Mo⁄ Au Ohmic contacts on n-GaN and AlGaN⁄ GaN epilayers," Journal of applied physics, vol. 101, p. 013702, 2007.
  • L. Smith, S. King, R. Nemanich, and R. Davis, "Cleaning of GaN surfaces," Journal of electronic materials, vol. 25, pp. 805-810, 1996.
  • L. Shen, S. Heikman, B. Moran, R. Coffie, N.-Q. Zhang, D. Buttari, et al., "AlGaN/AlN/GaN high-power microwave HEMT," Electron Device Letters, IEEE, vol. 22, pp. 457-459, 2001.
  • L. Niinist , M. Ritala, and M. Leskel , "Synthesis of oxide thin films and overlayers by atomic layer epitaxy for advanced applications," Materials Science and Engineering: B, vol. 41, pp. 23-29, 1996.
  • L. Coppola, D. Huff, F. Wang, R. Burgos, and D. Boroyevich, "Survey on high-temperature packaging materials for SiC-based power electronics modules," in Power Electronics Specialists Conference, 2007. PESC 2007. IEEE, 2007, pp. 2234-2240.
  • K.-S. Im, J.-B. Ha, K.-W. Kim, J.-S. Lee, D.-S. Kim, S.-H. Hahm, et al., "Normally off GaN MOSFET based on AlGaN/GaN heterostructure with extremely high 2DEG density grown on silicon substrate," Electron Device Letters, IEEE, vol. 31, pp. 192-194, 2010.
  • K. Zhu, V. Kuryatkov, B. Borisov, J. Yun, G. Kipshidze, S. Nikishin, et al., "Evolution of surface roughness of AlN and GaN induced by inductively coupled Cl2/Ar plasma etching," Journal of applied physics, vol. 95, pp. 4635-4641, 2004.
  • K. Ota, K. Endo, Y. Okamoto, Y. Ando, H. Miyamoto, and H. Shimawaki, "A normally-off GaN FET with high threshold voltage uniformity using a novel piezo neutralization technique," in Electron Devices Meeting (IEDM), 2009 IEEE International, 2009, pp. 1-4.
  • K. J. Choi, H. W. Jang, and J.-L. Lee, "Observation of inductively coupled-plasma-induced damage on n-type GaN using deep-level transient spectroscopy," Applied physics letters, vol. 82, pp. 1233-1235, 2003.
  • K. Horio, K. Yonemoto, H. Takayanagi, and H. Nakano, "Physicsbased simulation of buffer-trapping effects on slow current transients and current collapse in GaN field effect transistors," Journal of applied physics, vol. 98, p. 124502, 2005.
  • K. Horio, H. Onodera, and A. Nakajima, "Analysis of backsideelectrode and gate-field-plate effects on buffer-related current collapse in AlGaN/GaN high electron mobility transistors," Journal of Applied Physics, vol. 109, p. 114508, 2011.
  • K. Horio and A. Nakajima, "Physical mechanism of buffer-related current transients and current slump in AlGaN/GaN high electron mobility transistors," Japanese Journal of Applied Physics, vol. 47, p. 3428, 2008.
  • J.-j. Shi and Z.-z. Gan, "Effects of piezoelectricity and spontaneous polarization on localized excitons in self-formed InGaN quantum dots," Journal of applied physics, vol. 94, pp. 407-415, 2003.
  • J.-L. Lee, M. Weber, J. K. Kim, J. W. Lee, Y. J. Park, T. Kim, et al., "Ohmic contact formation mechanism of nonalloyed Pd contacts to ptype GaN observed by positron annihilation spectroscopy," Applied physics letters, vol. 74, pp. 2289-2291, 1999.
  • J.-H. Ryou, P. D. Yoder, J. Liu, Z. Lochner, H. Kim, S. Choi, et al., "Control of quantum-confined stark effect in InGaN-based quantum wells," Selected Topics in Quantum Electronics, IEEE Journal of, vol. 15, pp. 1080-1091, 2009.
  • J.-H. Min, G.-R. Lee, J.-k. Lee, S. H. Moon, and C.-K. Kim, "Dependences of bottom and sidewall etch rates on bias voltage and source power during the etching of poly-Si and fluorocarbon polymer using SF6, C4F8, and O2 plasmas," Journal of Vacuum Science & Technology B, vol. 22, pp. 893-901, 2004.
  • J.-H. Lee, C. Park, K.-W. Kim, D.-S. Kim, and J.-H. Lee, "Performance of Fully Recessed AlGaN/GaN MOSFET Prepared on GaN Buffer Layer Grown With AlSiC Precoverage on Silicon Substrate," Electron Device Letters, IEEE, vol. 34, pp. 975-977, 2013.
  • J.-C. Her, H.-J. Cho, C.-S. Yoo, H.-Y. Cha, J.-E. Oh, and K.-S. Seo, "SiNx prepassivation of AlGaN/GaN high-electron-mobility transistors using remote-mode plasma-enhanced chemical vapor deposition," Japanese Journal of Applied Physics, vol. 49, p. 041002, 2010.
  • J. Zolper, "A review of junction field effect transistors for hightemperature and high-power electronics," Solid-State Electronics, vol. 42, pp. 2153-2156, 1998.
  • J. Zhang, R. Yan, G. Liu, H. Liu, B. An, Y. Nie, et al., "Impact of charged basal stacking faults on the mobility of two-dimensional electron gas in nonpolar a-plane AlGaN/GaN heterostructures," Semiconductor Science and Technology, vol. 30, p. 085007, 2015.
  • J. Van Olmen, C. Huyghebaert, J. Coenen, J. Van Aelst, E. Sleeckx, A. Van Ammel, et al., "Integration challenges of copper through silicon via (TSV) metallization for 3D-stacked IC integration," Microelectronic Engineering, vol. 88, pp. 745-748, 2011.
  • J. Shi, L. F. Eastman, X. Xin, and M. Pophristic, "High performance AlGaN/GaN power switch with HfO2 insulation," Applied Physics Letters, vol. 95, p. 2103, 2009.
  • J. Park, H. Park, Y. Hahn, G.-C. Yi, and A. Yoshikawa, "Dry etching of ZnO films and plasma-induced damage to optical properties," Journal of Vacuum Science & Technology B, vol. 21, pp. 800-803, 2003.
  • J. Ladroue, A. Meritan, M. Boufnichel, P. Lefaucheux, P. Ranson, and R. Dussart, "Deep GaN etching by inductively coupled plasma and induced surface defects," Journal of Vacuum Science & Technology A, vol. 28, pp. 1226-1233, 2010.
  • J. Kuzmik, P. Javorka, A. Alam, M. Marso, M. Heuken, and P. Kordoš, "Determination of channel temperature in AlGaN/GaN HEMTs grown on sapphire and silicon substrates using DC characterization method," Electron Devices, IEEE Transactions on, vol. 49, pp. 1496-1498, 2002.
  • J. Johnson, E. Piner, A. Vescan, R. Therrien, P. Rajagopal, J. Roberts, et al., "12 W/mm AlGaN-GaN HFETs on silicon substrates," Electron Device Letters, IEEE, vol. 25, pp. 459-461, 2004.
  • J. Joh, J. del Alamo, U. Chowdhury, T.-M. Chou, H.-Q. Tserng, and J. L. Jimenez, "Measurement of channel temperature in GaN highelectron mobility transistors," Electron Devices, IEEE Transactions on, vol. 56, pp. 2895-2901, 2009.
  • J. J. Freedsman, T. Kubo, and T. Egawa, "High Drain Current Density E-Mode/AlGaN/GaN MOS-HEMT on Si With Enhanced Power Device Figure-of-Merit," Electron Devices, IEEE Transactions on, vol. 60, pp. 3079-3083, 2013.
  • J. Ibbetson, P. Fini, K. Ness, S. DenBaars, J. Speck, and U. Mishra, "Polarization effects, surface states, and the source of electrons in AlGaN/GaN heterostructure field effect transistors," Applied Physics Letters, vol. 77, pp. 250-252, 2000.
  • J. Garrido, A. Jim nez, J. S nchez‐Rojas, E. Munoz, F. Omn s, and P. Gibart, "Polarization Field Determination in AlGaN/GaN HFETs," physica status solidi (a), vol. 176, pp. 195-199, 1999.
  • J. Derluyn, M. Van Hove, D. Visalli, A. Lorenz, D. Marcon, P. Srivastava, et al., "Low leakage high breakdown e-mode GaN DHFET on Si by selective removal of in-situ grown Si 3 N 4," in Electron Devices Meeting (IEDM), 2009 IEEE International, 2009, pp. 1-4.
  • J. A. Del Alamo, "Nanometre-scale electronics with III-V compound semiconductors," Nature, vol. 479, pp. 317-323, 2011.
  • I. Smorchkova, C. Elsass, J. Ibbetson, R. Vetury, B. Heying, P. Fini, et al., "Polarization-induced charge and electron mobility in AlGaN/GaN heterostructures grown by plasma-assisted molecular-beam epitaxy," Journal of Applied Physics, vol. 86, pp. 4520-4526, 1999.
  • I. Bahl, Fundamentals of RF and microwave transistor amplifiers: John Wiley & Sons, 2009.
  • I. B. Rowena, S. L. Selvaraj, and T. Egawa, "Buffer thickness contribution to suppress vertical leakage current with high breakdown field (2.3 MV/cm) for GaN on Si," Electron Device Letters, IEEE, vol. 32, pp. 1534-1536, 2011.
  • H.-S. Lee, D. S. Lee, and T. Palacios, "AlGaN/GaN high-electronmobility transistors fabricated through a Au-Free technology," Electron Device Letters, IEEE, vol. 32, pp. 623-625, 2011.
  • H.-H. Kim, S.-H. Choi, S.-H. Shin, Y.-K. Lee, S.-M. Choi, and S. Yi, "Thermal transient characteristics of die attach in high power LED PKG," Microelectronics Reliability, vol. 48, pp. 445-454, 2008.
  • H. Then, L. Chow, S. Dasgupta, S. Gardner, M. Radosavljevic, V. Rao, et al., "High-performance low-leakage enhancement-mode high-K dielectric GaN MOSHEMTs for energy-efficient, compact voltage regulators and RF power amplifiers for low-power mobile SoCs," in VLSI Technology (VLSI Technology), 2015 Symposium on, 2015, pp. T202-T203.
  • H. Rhee, H. Kwon, C.-K. Kim, H. Kim, J. Yoo, and Y. W. Kim, "Comparison of deep silicon etching using SF6/C4F8 and SF6/C4F6 plasmas in the Bosch process," Journal of Vacuum Science & Technology B, vol. 26, pp. 576-581, 2008.
  • H. Matsuzaki, T. Maruyama, T. Koasugi, H. Takahashi, M. Tokumitsu, and T. Enoki, "Lateral scale down of InGaAs/InAs composite-channel HEMTs with tungsten-based tiered ohmic structure for 2-S/mm g m and 500-GHz f T," Electron Devices, IEEE Transactions on, vol. 54, pp. 378-384, 2007.
  • H. Lahreche, P. Vennegues, O. Tottereau, M. La gt, P. Lorenzini, M. Leroux, et al., "Optimisation of AlN and GaN growth by metalorganic vapour-phase epitaxy (MOVPE) on Si (111)," Journal of crystal growth, vol. 217, pp. 13-25, 2000.
  • H. Kim, "Atomic layer deposition of metal and nitride thin films: Current research efforts and applications for semiconductor device processing," Journal of Vacuum Science & Technology B, vol. 21, pp. 2231-2261, 2003.
  • H. Jansen, M. De Boer, S. Unnikrishnan, M. Louwerse, and M. Elwenspoek, "Black silicon method X: a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment," Journal of Micromechanics and Microengineering, vol. 19, p. 033001, 2009.
  • H. Honma, "Plating technology for electronics packaging," Electrochimica acta, vol. 47, pp. 75-84, 2001.
  • H. Hasegawa, T. Inagaki, S. Ootomo, and T. Hashizume, "Mechanisms of current collapse and gate leakage currents in AlGaN/GaN heterostructure field effect transistors," Journal of Vacuum Science & Technology B, vol. 21, pp. 1844-1855, 2003.
  • H. Hasegawa and S. Oyama, "Mechanism of anomalous current transport in n-type GaN Schottky contacts," Journal of Vacuum Science & Technology B, vol. 20, pp. 1647-1655, 2002.
  • H. Choi, S. Chua, A. Raman, J. Pan, and A. Wee, "Plasma-induced damage to n-type GaN," Applied Physics Letters, vol. 77, pp. 1795- 1797, 2000.
  • H. Chen, L. Yuan, Q. Zhou, C. Zhou, and K. J. Chen, "Normally‐off AlGaN/GaN power tunnel‐junction FETs," physica status solidi (c), vol. 9, pp. 871-874, 2012.
  • G.-Q. Lu, E. N. Calata, Z. Zhang, and J. G. Bai, "A lead-free, lowtemperature sintering die-attach technique for high-performance and high-temperature packaging," in High Density Microsystem Design and Packaging and Component Failure Analysis, 2004. HDP'04. Proceeding of the Sixth IEEE CPMT Conference on, 2004, pp. 42-46.
  • G. Sun, T. Gao, X. Zhao, and H. Zhang, "Fabrication of micro/nano dual-scale structures by improved deep reactive ion etching," Journal of Micromechanics and Microengineering, vol. 20, p. 075028, 2010.
  • F. Sechi and M. Bujatti, Solid-state microwave high-power amplifiers: Artech House, 2014.
  • F. Medjdoub, J. Derluyn, K. Cheng, M. Leys, S. Degroote, D. Marcon, et al., "Low on-resistance high-breakdown normally off AlN/GaN/AlGaN DHFET on Si substrate," Electron Device Letters, IEEE, vol. 31, pp. 111-113, 2010.
  • F. M. Mohammed, L. Wang, and I. Adesida, "First-layer Si metallizations for thermally stable and smooth Ohmic contacts for AlGaN⁄ GaN high electron mobility transistors," Journal of Vacuum Science & Technology B, vol. 25, pp. 324-333, 2007.
  • F. M. Mohammed, L. Wang, D. Selvanathan, H. Hu, and I. Adesida, "Ohmic contact formation mechanism of Ta⁄ Al⁄ Mo⁄ Au and Ti⁄ Al⁄ Mo⁄ Au metallizations on AlGaN⁄ GaN HEMTs," Journal of Vacuum Science & Technology B, vol. 23, pp. 2330-2335, 2005.
  • F. Khan, L. Zhou, V. Kumar, and I. Adesida, "Plasma-induced damage study for n-GaN using inductively coupled plasma reactive ion etching," Journal of Vacuum Science & Technology B, vol. 19, pp. 2926-2929, 2001.
  • F. Fornetti, "Characterisation and Performance Optimisation of GaN HEMTs and Amplifiers for Radar Applications," University of Bristol, 2010.
  • E. Yu, G. Sullivan, P. Asbeck, C. Wang, D. Qiao, and S. Lau, "Measurement of piezoelectrically induced charge in GaN/AlGaN heterostructure field-effect transistors," Applied physics letters, vol. 71, pp. 2794-2796, 1997.
  • E. Johnson, "Physical limitations on frequency and power parameters of transistors," in 1958 IRE International Convention Record, 1966, pp. 27-34.
  • D. Song, J. Liu, Z. Cheng, W. C. Tang, K. M. Lau, and K. J. Chen, "Normally off AlGaN/GaN low-density drain HEMT (LDD-HEMT) with enhanced breakdown voltage and reduced current collapse," Electron Device Letters, IEEE, vol. 28, pp. 189-191, 2007.
  • D. Smith, "Strain-generated electric fields in [111] growth axis strained-layer superlattices," Solid state communications, vol. 57, pp. 919-921, 1986.
  • D. S. Tezcan, K. D. Munck, N. Pham, O. Luhn, A. Aarts, P. D. Moor, et al., "Development of vertical and tapered via etch for 3D through wafer interconnect technology," in Electronics Packaging Technology Conference, 2006. EPTC'06. 8th, 2006, pp. 22-28.
  • D. Qiao, L. Yu, S. Lau, J. Lin, H. Jiang, and T. Haynes, "A study of the Au/Ni ohmic contact on p-GaN," Journal of Applied Physics, vol. 88, pp. 4196-4200, 2000.
  • D. Kim, V. Kumar, G. Chen, A. Dabiran, A. Wowchak, A. Osinsky, et al., "ALD Al2O3 passivated MBE-grown AlGaN/GaN HEMTs on 6HSiC," Electronics Letters, vol. 43, pp. 127-128, 2007.
  • D. Fanning, L. Witkowski, C. Lee, D. Dumka, H. Tserng, P. Saunier, et al., "25W X-band GaN on Si MMIC," GaAs Man. Tech. Digest, 2005.
  • D. Dumka, C. Lee, H. Tserng, P. Saunier, and M. Kumar, "AlGaN/GaN HEMTs on Si substrate with 7 W/mm output power density at 10 GHz," Electronics Letters, vol. 40, pp. 1023-1024, 2004.
  • D. Buttari, A. Chini, T. Palacios, R. Coffie, L. Shen, H. Xing, et al., "Origin of etch delay time in Cl2 dry etching of AlGaN/GaN structures," Applied physics letters, vol. 83, pp. 4779-4781, 2003.
  • C.-T. Chang, T.-H. Hsu, E. Y. Chang, Y.-C. Chen, H.-D. Trinh, and K. J. Chen, "Normally-off operation AlGaN/GaN MOS-HEMT with high threshold voltage," Electronics letters, vol. 46, pp. 1280-1281, 2010.
  • C.-S. Suh, Y. Dora, N. Fichtenbaum, L. McCarthy, S. Keller, and U. Mishra, "High-breakdown enhancement-mode AlGaN/GaN HEMTs with integrated slant field-plate," in Electron Devices Meeting, 2006. IEDM'06. International, 2006, pp. 1-3.
  • C.-H. Chen, S. Keller, E. D. Haberer, L. Zhang, S. P. DenBaars, E. L. Hu, et al., "Cl2 reactive ion etching for gate recessing of AlGaN/GaN field-effect transistors," J. Vac. Sci. Technol. B, Microelectron. Process. Phenom, vol. 17, pp. 2755-2758, 1999.
  • C.-H. Chang, H.-T. Hsu, L.-C. Huang, C.-Y. Chiang, and E. Y. Chang, "Fabrication of AlGaN/GaN high electron mobility transistors (HEMTs) on silicon substrate with slant field plates using deep-UV lithography featuring 5W/mm power density at X-band," in Microwave Conference Proceedings (APMC), 2012 Asia-Pacific, 2012, pp. 941-943.
  • C. Roff, J. Benedikt, P. J. Tasker, D. J. Wallis, K. P. Hilton, J. O. Maclean, et al., "Analysis of DC–RF dispersion in AlGaN/GaN HFETs using RF waveform engineering," Electron Devices, IEEE Transactions on, vol. 56, pp. 13-19, 2009.
  • C. Eddy Jr and B. Molnar, "Plasma etch-induced conduction changes in gallium nitride," Journal of electronic materials, vol. 28, pp. 314- 318, 1999.
  • B. Wu, A. Kumar, and S. Pamarthy, "High aspect ratio silicon etch: a review," Journal of applied physics, vol. 108, p. 051101, 2010.
  • B. Van Daele, G. Van Tendeloo, W. Ruythooren, J. Derluyn, M. Leys, and M. Germain, "The role of Al on Ohmic contact formation on ntype GaN and AlGaN⁄ GaN," Applied Physics Letters, vol. 87, p. 061905, 2005.
  • B. M. Green, K. K. Chu, E. M. Chumbes, J. A. Smart, J. R. Shealy, and L. F. Eastman, "The effect of surface passivation on the microwave characteristics of undoped AlGaN/GaN HEMTs," Electron Device Letters, IEEE, vol. 21, pp. 268-270, 2000.
  • B. Luo, R. Mehandru, J. Kim, F. Ren, B. Gila, A. Onstine, et al., "Comparison of surface passivation films for reduction of current collapse in AlGaN/GaN high electron mobility transistors," Journal of The Electrochemical Society, vol. 149, pp. G613-G619, 2002.
  • B. Lu, O. I. Saadat, and T. Palacios, "High-performance integrated dual-gate AlGaN/GaN enhancement-mode transistor," Electron Device Letters, IEEE, vol. 31, pp. 990-992, 2010.
  • B. J. Baliga, "Power semiconductor device figure of merit for highfrequency applications," Electron Device Letters, IEEE, vol. 10, pp. 455-457, 1989.
  • B. Boudart, S. Trassaert, X. Wallart, J. Pesant, O. Yaradou, D. Theron, et al., "Comparison between TiAl and TiAlNiAu ohmic contacts to ntype GaN," Journal of electronic materials, vol. 29, pp. 603-606, 2000.
  • A. Zoroddu, F. Bernardini, P. Ruggerone, and V. Fiorentini, "Firstprinciples prediction of structure, energetics, formation enthalpy, elastic constants, polarization, and piezoelectric constants of AlN, GaN, and InN: Comparison of local and gradient-corrected densityfunctional theory," Physical Review B, vol. 64, p. 045208, 2001.
  • A. Schmitz, A. Ping, M. A. Khan, Q. Chen, J. Yang, and I. Adesida, "Schottky barrier properties of various metals on n-type GaN," Semiconductor Science and Technology, vol. 11, p. 1464, 1996.
  • A. Schmitz, A. Ping, M. A. Khan, Q. Chen, J. Yang, and I. Adesida, "Metal contacts to n-type GaN," Journal of Electronic Materials, vol. 27, pp. 255-260, 1998.
  • A. Saxler, P. Debray, R. Perrin, S. Elhamri, W. Mitchel, C. Elsass, et al., "Characterization of an AlGaN/GaN two-dimensional electron gas structure," Journal of Applied Physics, vol. 87, pp. 369-374, 2000.
  • A. Ping, A. Schmitz, I. Adesida, M. A. Khan, Q. Chen, and J. Yang, "Characterization of reactive ion etching-induced damage to n-GaN surfaces using schottky diodes," Journal of electronic materials, vol. 26, pp. 266-271, 1997.
  • A. P. Edwards, J. A. Mittereder, S. C. Binari, D. S. Katzer, D. F. Storm, and J. Roussos, "Improved reliability of AlGaN-GaN HEMTs using an NH 3 plasma treatment prior to SiN passivation," Electron Device Letters, IEEE, vol. 26, pp. 225-227, 2005.
  • A. Lidow, J. Strydom, M. De Rooij, and D. Reusch, GaN transistors for efficient power conversion: John Wiley & Sons, 2014.
  • A. Kakanakova-Georgieva, U. Forsberg, I. G. Ivanov, and E. Janz n, "Uniform hot-wall MOCVD epitaxial growth of 2inch AlGaN/GaN HEMT structures," Journal of crystal growth, vol. 300, pp. 100-103, 2007.
  • A. Endoh, Y. Yamashita, K. Ikeda, M. Higashiwaki, K. Hikosaka, T. Matsui, et al., "Non-recessed-gate enhancement-mode AlGaN/GaN high electron mobility transistors with high RF performance," Japanese journal of applied physics, vol. 43, p. 2255, 2004.
  • A. Bykhovski, B. Gelmont, and M. Shur, "The influence of the straininduced electric field on the charge distribution in GaN‐AlN‐GaN structure," Journal of applied physics, vol. 74, pp. 6734-6739, 1993.
  • A. Bright, P. Thomas, M. Weyland, D. Tricker, C. Humphreys, and R. Davies, "Correlation of contact resistance with microstructure for Au/Ni/Al/Ti/AlGaN/GaN ohmic contacts using transmission electron microscopy," Journal of Applied Physics, vol. 89, pp. 3143-3150, 2001.
  • A. Baca, F. Ren, J. Zolper, R. Briggs, and S. Pearton, "A survey of ohmic contacts to III-V compound semiconductors," Thin Solid Films, vol. 308, pp. 599-606, 1997.
  • A. Asgari, M. Kalafi, and L. Faraone, "The effects of GaN capping layer thickness on two-dimensional electron mobility in GaN/AlGaN/GaN heterostructures," Physica E: Low-dimensional Systems and Nanostructures, vol. 25, pp. 431-437, 2005.