박사

모바일 장치에서의 표면온도 인지를 통한 발열 관리 기법

임명균 2016년
논문상세정보
' 모바일 장치에서의 표면온도 인지를 통한 발열 관리 기법' 의 주제별 논문영향력
논문영향력 선정 방법
논문영향력 요약
주제
  • application processor
  • mobile device
  • temperature estimation
  • thermal management
동일주제 총논문수 논문피인용 총횟수 주제별 논문영향력의 평균
39 0

0.0%

' 모바일 장치에서의 표면온도 인지를 통한 발열 관리 기법' 의 참고문헌

  • Yeo, Inchoon, and Eun Jung Kim. "Hybrid dynamic thermal management based on statistical characteristics of multimedia applications." Proceedings of the 2008 international symposium on Low Power Electronics & Design. ACM, 2008
  • YANG, J., ZHOU, X., CHROBAK, M., ZHANG, Y., AND JIN, L. 2008. Dynamic thermal management through taskscheduling.In Proceedings of the IEEE International Symposium on Performance Analysis of Systemsand Software (ISPASS’08). 191–201
  • Weiping Liao , Lei He , K. M. Lepak, Temperature and supply Voltage aware performance and power modeling at microarchitecture level, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v.24 n.7, p.1042-1053, November 2006
  • W.E. Newell, “Transient thermal analysis of solid-state power devices—making a dreaded process easy”, IEEE Transactions on Industry Applications, 12 (4) 1976
  • Vassighi, A. and Sachdev, M., Thermal and Power Management of Integrated Circuits, (Springer, 2006)
  • Skadron, K., Stan, M.R., Huang, W., Velusamy, S., Sankaranarayanan, K., and Tarjan, D., 'Temperature-Aware Microarchitecture', in, ACM SIGARCH Computer Architecture News, (ACM, 2003)
  • Rencz, M., Sz kely, V., Poppe, A., Farkas, G., and Courtois, B., 'New Methods and Supporting Tools for the Thermal Transient Testing of Packages', in, Proc. Intl. Conference on Advances in Packaging APACK, (2001)
  • Rao, R., Vrudhula, S., Chakrabarti, C., and Chang, N., 'An Optimal Analytical Solution for Processor Speed Control with Thermal Constraints', in, Low Power Electronics and Design, 2006. ISLPED'06. Proceedings of the 2006 International Symposium on, (IEEE, 2006)
  • Properties of the structure function and its use for structure identification and for compact model generation, 2000 :Tech. Rep [online] Available: http://www.micred.com/strfunc.html
  • Naveh, A., Rajwan, D., Ananthakrishnan, A., and Weissmann, E., 'Power Management Architecture of the 2nd Generation Intel Core™ Microarchitecture, Formerly Codenamed Sandy Bridge', 2011.
  • N. H. E.Weste and D. Harris, CMOS VLSI Design A Circuits and SystemsPerspective. Reading, MA: Addison-Wesley, 2005
  • Moritz, A.R. and Henriques Jr, F., 'Studies of Thermal Injury: Ii. The Relative Importance of Time and Surface Temperature in the Causation of Cutaneous Burns*', The American Journal of Pathology, 1947, 23, (5), p. 695.
  • Marx, John (2010). "Chapter 60: Thermal Burns". Rosen's emergency medicine : concepts and clinical practice (7th ed.). Philadelphia: Mosby/Elsevier
  • MERKEL, A. AND BELLOSA, F. 2008. Task activity vectors: A new metric for temperature-aware scheduling. InProceedings of the 3rd ACM SIGOPS EuroSys Conference
  • Kong, J., Chung, S.W., and Skadron, K., 'Recent Thermal Management Techniques for Microprocessors', ACM Computing Surveys (CSUR), 2012, 44, (3), p. 13.
  • Jerry E. Sergent and Al Krum.Thermal Management Handbook. McGraw-Hill, 1998
  • Incropera F.P., Dewitt D.P, “Fundamentals of heat and mass transfer”, John Wiley and Sons, 1996
  • ISO 13732-1:2006(en), Ergonomics of the thermal environment – Methods for the assessment of human responses to contact with surfaces – Part 1: Hot surfaces
  • I. M. Filanovsky and A. Allam "Mutual compensation of mobility and threshold voltage temperature effects with applications in CMOS circuits", IEEE Trans. Circuits Syst. I, vol. 48, pp.876 -884 2001
  • Huang, Wei, et al. "Compact thermal modeling for temperature-aware design." Proceedings of the 41st annual Design Automation Conference.ACM, 2004.
  • Fornberg, Bengt (1988), “Generation of Finite Difference Formulas on Arbitrarily Spaced Grids”, Mathematics of Computation 51
  • F. Fallah and M. Pedram "Standby and active leakage current control and minimization in CMOS VLSI circuits", IEICE Trans. Electron. (Special Section on Low-Power LSI and Low-Power IP), vol. E88-C, no. 4, pp.509-519 2005
  • David Brooks , Margaret Martonosi, Dynamic Thermal Management for High-Performance Microprocessors, Proceedings of the 7th International Symposium on High-Performance Computer Architecture, p.171, January 20- 24, 2001
  • DONALD, J. AND MARTONOSI, M. 2006. Techniques for multicore thermal management: Classification and newexploration. In Proceedings of the 33rd Annual International Symposium on Computer Architecture(ISCA’06). 78–88
  • D. Wolpert and P. Ampadu: Managing temperature effects in nanoscaleadaptive systems (Springer, 2011)
  • Contreras, G. and Martonosi, M., 'Power Prediction for Intel Xscale Processors Using Performance Monitoring Unit Events', in, Low Power Electronics and Design, 2005. ISLPED'05. Proceedings of the 2005 International Symposium on, (IEEE, 2005)
  • Brooks, David, et al. "Power, thermal, and reliability modeling in nanometer-scale microprocessors." Micro, IEEE 27.3 (2007): 49-62.
  • Billings, D.T. and Stout, R.P., 'Using Linear Superposition to Solve Multiple Heat Source Transient Thermal Problems', in, ASME 2007 InterPACK Conference collocated with the ASME/JSME 2007 Thermal Engineering Heat Transfer Summer Conference, (American Society of Mechanical Engineers, 2007)