박사

이종 주 기억장치 하위체제 : Hybrid Main Memory Sub-System

김동기 2016년
논문상세정보
' 이종 주 기억장치 하위체제 : Hybrid Main Memory Sub-System' 의 주제별 논문영향력
논문영향력 선정 방법
논문영향력 요약
주제
  • Cache memory
  • memory management
  • multicore processing
  • phase change random access memory
동일주제 총논문수 논문피인용 총횟수 주제별 논문영향력의 평균
13 0

0.0%

' 이종 주 기억장치 하위체제 : Hybrid Main Memory Sub-System' 의 참고문헌

  • Xilinx Corporation. (2015, Feb. 23) Zynq-7000 All Programmable SoC Technical Reference Manual (v1.10) [Online]. Available: http://www.xilinx.com/support/documentation/user_guides/ug585-Zynq-7000-TRM.pdf
  • U. Kang, H. Yu, C. Park, H. Zheng, J. Halbert, K. Bains, S. Jang and J. S. Choi. (2014, Jun. 14) Co-Architecting Controllers and DRAM to Enhance DRAM Process Scaling [Online]. Available: http://www.cs.utah.edu/thememoryforum/kang_slides.pdf
  • T. M. Aamodt, A. Bakhoda and W. W. L. Fung. (2009, Dec.) GPGPUSim: A Performance Simulator for Massively Multithreaded Processor Research [Online]. Available: http://www.ece.ubc.ca/~aamodt/gpgpusim/tutorial/GPGPU-Sim-Tutorial-MICRO42.pdf
  • T. Lee, D. Kim, H. Park, S. Yoo and S. Lee, “FPGA-based prototyping systems for emerging memory technologies,” in Proc. 25th IEEE Int. Symp. Rapid Syst. Prototyping, 2014, pp. 115-120.
  • Samsung Corporation. Exynos 7 Octa Exynos 5433 Processor [Online]. Available: http://www.samsung.com/global/business/semiconductor/minisite/Exynos/w/solution.html#?v=7octa
  • SQLite. Database Speed Comparison [Online]. Available: http://sqlite.org/speed.html
  • S. W. Keckler, W. J. Dally, B. Khailany, M. Garland and D. Glasco, “GPUs and the future of parallel computing,” IEEE Micro, vol. 31, no. 5, pp. 7-17, Sep.-Oct. 2011.
  • S. Schechter, G. H. Loh, K. Strauss and D. Burger, “Use ECP, not ECC, for hard failures in resistive memories,” in Proc. 37th Annu. Int. Symp. Comput. Archit., 2010, pp. 141-152.
  • S. Rixner, W. J. Dally, U. J. Kapasi, P. Mattson and J. D. Owens, “Memory access scheduling,” in Proc. 27th Annu. Int. Symp. Comput. Archit., 2000, pp. 128-138.
  • S. Raoux, G. W. Burr, M. J. Breitwisch, C. T. Rettner, Y.-C. Chen, R. M. Shelby, M. Salinga, D. Krebs, S.-H. Chen, H.-L. Lung and C. H. Lam, “Phase-change random access memory: a scalable technology,” IBM J. Res. Develop., vol. 52, no. 4.5, pp. 465-479, Jul. 2008.
  • S. Phadke and S. Narayanasamy, "MLP aware heterogeneous memory system," in Proc. Des. Autom. Test Eur. Conf. Exhib., 2011, pp. 1-6.
  • S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen and N. P. Jouppi, “McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures,” in Proc. 42nd Annu. IEEE/ACM Int. Symp. Microarchit., 2009, pp. 469-480.
  • S. Kwon, D. Kim, Y. Kim, S. Yoo and S. Lee, “A case study on the application of real phase-change RAM to main memory subsystem,” in Proc. Des. Autom. Test Eur. Conf. Exhib., 2012, pp. 264-267.
  • S. Franey and M. Lipasti, "Tag tables," in Proc. IEEE 21th Int. Symp. High Perform. Comput. Archit., 2014, pp. 514-525.
  • S. Eilert, M. Leinwander and G. Crisenza. (2011, Jun. 23) Phase Change Memory (PCM): A New Memory Technology to Enable New Memory Usage Models [Online]. Available: http://www.feishare.com/attachments/article/230/pcm_enables_new_memory_usage_models.pdf
  • S. Dumas, “Mobile memory forum: LPDDR3 and wideIO,” in JEDEC Mobile Forum, Jun. 2011.
  • S. Damaraju, V. George, S. Jahagirdar, T. Khondker, R. Milstrey, S. Sarkar, S. Siers, I. Stolero and A. Subbiah, “A 22nm IA multi-CPU and GPU system-on-chip,” in Proc. IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, 2012, pp. 56-57.
  • S. Cho and H. Lee, “Flip-n-write: a simple deterministic technique to improve PRAM write performance, energy and endurance,” in Proc. 42nd Annu. IEEE/ACM Int. Symp. Microarchit., 2009, pp. 347-357.
  • R. Ramanujan, R. Agarwal and G. Hinton, “Apparatus and method for implementing a multi-level memory hierarchy having different operating modes,” U.S. Patent 2013 0 268 728, Oct. 10, 2013.
  • Qualcomm Corporation. Qualcomm SnapdragonTM 810 Processor [Online]. Available: https://www.qualcomm.com/media/documents/files/snapdragon-810-processor-product-brief.pdf
  • O. Mutlu and T. Moscibroda, “Parallelism-aware batch scheduling: enhancing both performance and fairness of shared DRAM systems,” in Proc. 35th Int. Symp. Comput. Archit., 2008, pp. 63-74.
  • N. H. Seong, D. H. Woo, V. Srinivasan, J. A. Rivers and H.-H. S. Lee, "SAFER: stuck-at-fault error recovery for memories," in Proc. 43rd Annu. IEEE/ACM Int. Symp. Microarchit., 2010, pp. 115-124.
  • N. H. Seong, D. H. Woo and H.-H. S. Lee, “Security refresh: prevent malicious wear-out and increase durability for phase-change memory with dynamically randomized address mapping,” in Proc. 37th Annu. Int. Symp. Comput. Archit., 2010, pp. 383-394.
  • N. Chatterjee, R. Balasubramonian, M. Shevgoor, S. Pugsley, A. Udipi, A. Shafiee, K. Sudan, M. Awasthi and Z. Chishti, "USIMM: the Utah simulated memory module," Univ. Utah, Salt Lake City, UT, USA, Tech. Rep. UUCS-12-002, 2012.
  • N. B. Lakshminarayana and H. Kim, “Effect of instruction fetch and memory scheduling on GPU performance,” in Proc. Workshop Lang. Compil. Archit. Support GPGPU, 2010, pp. 1-10.
  • Micron Corporation. (2001) TN-46-03-Calculating DDR Memory System Power [Online]. Available: http://www.micron.com/~/media/Documents/Products/Technical%20Note/DRAM/TN4603.pdf
  • M. Zhao, L. Jiang, Y. Zhang and C. J. Xue, "SLC-enabled wear leveling for MLC PCM considering process variation," in Proc. 51st ACM/EDAC/IEEE Des. Autom. Conf., 2014, pp. 1-6.
  • M. LaPedus. (2010, Apr. 28) Samsung to Ship MCP with Phase-Change [Online]. Available: http://www.eetimes.com/electronicsnews/4088727/Samsung-to-ship-MCP-with-phase-change
  • M. K. Qureshi, V. Srinivasan and J. A. Rivers, "Scalable high performance main memory system using phase-change memory technology," in Proc. 36th Annu. Int. Symp. Comput. Archit., 2009, pp. 24-33.
  • M. K. Qureshi, M. M. Franceschini and L. A. Lastras-Montano, “Improving read performance of phase change memories via write cancellation and write pausing,” in Proc. IEEE 16th Int. Symp. High Perform. Comput. Archit., 2010, pp. 1-11.
  • M. K. Qureshi, J. Karidis, M. Franceschini, V. Srinivasan, L. Lastras and B. Abali, “Enhancing lifetime and security of PCM-based main memory with start-gap wear leveling,” in Proc. 42nd Annu. Int. Symp. Microarchit., 2009, pp. 14-23.
  • M. K. Qureshi, A. Seznec, L. A. Lastras and M. M. Franceschini, “Practical and secure PCM systems by online detection of malicious write streams,” in Proc. IEEE 17th Int. Symp. High Perform. Comput. Archit., 2011, pp. 478-489.
  • M. K. Qureshi and G. H. Loh, "Fundamental latency trade-offs in architecting DRAM caches outperforming impractical SRAM-tags with a simple and practical design," in Proc. 45th Annu. IEEE/ACM Int. Symp. Microarchit., 2012, pp. 235-246.
  • M. Abdulla and M. Greenberg, “Will phase change memory (PCM) replace DRAM or NAND flash?,” in Flash Memory Summit, Aug. 2010.
  • M. A. Bender, S. Chakrabarti and S. Muthukrishnan, "Flow and stretch metrics for scheduling continuous job streams," in Proc. 9th Annu. ACM-SIAM Symp. Discr. Algorithms, 1998, pp. 270-279.
  • Low Power Double Data Rate 2 (LPDDR2), JESD209-2E, Apr. 2011.
  • L. Zhao, R. Iyer, R. Illikkal and D. Newell, "Exploring DRAM cache architectures for CMP server platforms," in Proc. 25th Int. Conf. Comput. Des., 2007, pp. 55-62.
  • L. Zhang, Z. Fang, M. Parker, B. K. Mathew, L. Schaelicke, J. B. Carter, W. C. Hsieh and S. A. McKee, “The impulse memory controller,” IEEE Trans. Comput., vol. 50, no. 11, pp. 1117-1132, Nov. 2001.
  • L. Jiang, Y. Zhang, B. R. Childers and J. Yang, "FPB: fine-grained power budgeting to improve write throughput of multi-level cell phase change memory," in Proc. 45th Annu. IEEE/ACM Int. Symp. Microarchit., 2012, pp. 1-12.
  • L. Jiang, B. Zhao, Y. Zhang, J. Yang and B. R. Childers, “Improving write operations in MLC phase change memory,” in Proc. IEEE 18th Int. Symp. High Perform. Comput. Archit., 2012, pp. 1-10.
  • K.-J. Lee, B.-H. Cho, W.-Y. Cho, S. Kang, B.-G. Choi, H.-R. Oh, C.-S. Lee, H.-J. Kim, J.-M. Park, Q. Wang, M.-H. Park, Y.-H. Ro, J.-Y. Choi, K.-S. Kim, Y.-R. Kim, I.-C. Shin, K.-W. Lim, H.-K. Cho, C.-H. Choi, W.-R. Chung, D.-E. Kim, K.-S. Yu, G.-T. Jeong, H.-S. Jeong, C.-K. Kwak, C.-H. Kim and K. Kim, “A 90nm 1.8V 512Mb diode-switch PRAM with 266MB/s read throughput,” in Proc. IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, 2007, pp. 472-473, 616.
  • K. Zhong, T. Wang, X. Zhu, L. Long, D. Liu, W. Liu, Z. Shao and E. H.-M. Sha, “Building high-performance smartphones via non-volatile memory: the swap approach,” in Proc. 14th Int. Conf. Embedded Softw., 2014, pp. 1-10.
  • J. Yun, S. Lee and S. Yoo, "Dynamic wear leveling for phase-change memories with endurance variations," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 23, no. 9, pp. 1604-1615, Sep. 2015.
  • J. Yue and Y. Zhu, "Accelerating write by exploiting PCM asymmetries," in Proc. IEEE 19th Int. Symp. High Perform. Comput. Archit., 2013, pp. 282-293.
  • J. Sim, A. R. Alameldeen, Z. Chishti, C. Wilkerson and H. Kim, "Transparent hardware management of stacked DRAM as part of memory," in Proc. 47th Annu. IEEE/ACM Int. Symp. Microarchit., 2014, pp. 13-24.
  • J. Katcher, “PostMark: a new file system benchmark,” Network Appliance Inc., Sunnyvale, CA, USA, Tech. Rep. TR3022, 1997.
  • J. K. Kim, H. G. Lee, S. Choi and K. I. Bahng, “A PRAM and NAND flash hybrid architecture for high-performance embedded storage subsystems,” in Proc. 8th Int. Conf. Embedded Softw., 2008, pp. 31-40.
  • J. H. Ahn, S. Li, S. O and N. P. Jouppi, "McSimA+: a manycore simulator with application-level+simulation and detailed microarchitecture modeling," in Proc. IEEE Int. Symp. Perform. Anal. Syst. Softw., 2013, pp. 74-85.
  • J. H. Ahn, M. Erez and W. J. Dally, “The design space of data-parallel memory systems,” in Proc. 2006 ACM/IEEE Conf. Supercomput., 2006, article 80.
  • J. Dong, L. Zhang, Y. Han, Y. Wang and X. Li, "Wear rate leveling: lifetime enhancement of PRAM with endurance variation," in Proc. 48th ACM/EDAC/IEEE Des. Autom. Conf., 2011, pp. 972-977.
  • J. Coburn, A. M. Caulfield, A. Akel, L. M. Grupp, R. K. Gupta, R. Jhala and S. Swanson, “NV-heaps: making persistent objects fast and safe with next-generation, non-volatile memories,” in Proc. 6th Int. Conf. Architectural Support Program. Languages Operating Syst., 2011, pp. 105-118.
  • Intel Corporation. (2015, Jul. 28) Intel and Micron Produce Breakthrough Memory Technology [Online]. Available: http://newsroom.intel.com/community/intel_newsroom/blog/2015/07/28/intel-and-micron-produce-breakthrough-memory-technology
  • H. Yoon, J. Meza, R. Ausavarungnirun, R. A. Harding and O. Mutlu, "Row buffer locality aware caching policies for hybrid memories," in Proc. IEEE 30th Int. Conf. Comput. Des., 2012, pp. 337-344.
  • H. Chung, B. H. Jeong, B. Min, Y. Choi, B.-H. Cho, J. Shin, J. Kim, J. Sunwoo, J.-M. Park, Q. Wang, Y.-J. Lee, S. Cha, D. Kwon, S. Kim, S. Kim, Y. Rho, M.-H. Park, J. Kim, I. Song, S. Jun, J. Lee, K. Kim, K.- W. Lim, W.-R. Chung, C. Choi, H. Cho, I. Shin, W. Jun, S. Hwang, K.- W. Song, K. Lee, S.-W. Chang, W.-Y. Cho, J.-H. Yoo and Y.-H. Jun, “A 58nm 1.8V 1Gb PRAM with 6.4MB/s program BW,” in Proc. IEEE Int. Solid-State Circuits Conf. Dig. Tech. Paper, 2011, pp. 500-502.
  • G. H. Loh and M. D. Hill, "Efficiently enabling conventional block sizes for very large die-stacked DRAM caches," in Proc. 44th Annu. IEEE/ACM Int. Symp. Microarchit., 2011, pp. 454-464.
  • G. Dhiman, R. Ayoub and T. Rosing, “PDRAM: a hybrid PRAM and DRAM main memory system,” in Proc. 46th ACM/IEEE Des. Autom. Conf., 2009, pp. 664-669.
  • G. D. Sandre, L. Bettini, A. Pirola, L. Marmonier, M. Pasotti, M. Borghi, P. Mattavelli, P. Zuliani, L. Scotti, G. Mastracchio, F. Bedeschi, R. Gastaldi and R. Bez, “A 90nm 4Mb embedded phasechange memory with 1.2V 12ns read access time and 1MB/s write throughput,” in Proc. IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, 2010, pp. 268-269.
  • D. Liu, T. Wang, Y. Wang, Z. Qin and Z. Shao, “PCM-FTL: a writeactivity- aware NAND flash memory management scheme for PCMbased embedded systems,” in Proc. IEEE 32nd Real-Time Syst. Symp., 2011, pp. 357-366.
  • D. Levinthal. Performance Analysis Guide for Intel CoreTM i7 Processor and Intel XeonTM 5500 Processors [Online]. Available: http://software.intel.com/sites/products/collateral/hpc/vtune/performance_analysis_guide.pdf
  • D. Jevdjic, S. Volos and B. Falsafi, "Die-stacked DRAM caches for servers hit ratio, latency, or bandwidth? Have it all with footprint cache," in Proc. 40th Int. Symp. Comput. Archit., 2013, pp. 404-415.
  • D. Jevdjic, G. H. Loh, C. Kaynak and B. Falsafi, "Unison cache: a scalable and effective die-stacked DRAM cache," in Proc. 47th Annu. IEEE/ACM Int. Symp. Microarchit., 2014, pp. 25-37.
  • D. H. Yoon, N. Muralimanohar, J. Chang, P. Ranganathan, N. P. Jouppi and M. Erez, "Free-p: protecting non-volatile memory against both hard and soft errors," in Proc. IEEE 17th Int. Symp. High Perform. Comput. Archit., 2011, pp. 466-477.
  • C. Villa, D. Mills, G. Barkley, H. Giduturi, S. Schippers and D. Vimercati, “A 45nm 1Gb 1.8V phase-change memory,” in Proc. IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, 2010, pp. 270-271.
  • C. Culbertson. (2014, Sep. 29) The Intel CoreTM M Processor [Online]. Available: http://software.intel.com/en-us/articles/intel-core-m
  • C. Chou, A. Jaleel and M. K. Qureshi, "BATMAN: maximizing bandwidth utilization of hybrid memory systems," Georgia Inst. Technol., Atlanta, GA, USA, Tech. Rep. TR-CARET-2015-01, 2015.
  • B.-D. Yang, J.-E. Lee, J.-S. Kim, J. Cho, S.-Y. Lee and B.-G. Yu, "A low power phase-change random access memory using a datacomparison write scheme," in Proc. IEEE Int. Symp. Circuits and Syst., 2007, pp. 3014-3017.
  • B. Wang, B. Wu, D. Li, X. Shen, W. Yu, Y. Jiao and J. S. Vetterz, "Exploring hybrid memory for GPU energy efficiency through software-hardware co-design," in Proc. 22nd Int. Conf. Parallel Archit. Compilation Techn., 2013, pp. 93-102.
  • B. Nale, R. Ramanujan, M. Swaminathan and T. Thomas, “Memory channel that supports near memory and far memory access,” U.S. Patent 2014 0 040 550, Feb. 6, 2014.
  • B. Li, S. Shan, Y. Hu and X. Li, “Partial-set: write speedup of PCM main memory,” in Proc. Des. Autom. Test Eur. Conf. Exhib., 2014, pp. 1-4.
  • B. C. Lee, E. Ipek, O. Mutlu and D. Burger, “Architecting phase change memory as a scalable DRAM alternative,” in Proc. 36th Annu. Int. Symp. Comput. Archit., 2009, pp. 2-13.
  • ARM Corporation. AMBA Specifications [Online]. Available: http://www.arm.com/products/system-ip/amba-specifications.php
  • A. Snavely and D. M. Tullsen, "Symbiotic jobscheduling for a simultaneous multithreading processor," ACM Sigplan Notices, vol. 35, no. 11, pp. 234-244, Nov. 2000.
  • A. Phansalkar, A. Joshi and L. K. John, "Analysis of redundancy and application balance in the SPEC CPU2006 benchmark suite," in Proc. 34th Annu. Int. Symp. Comput. Archit., 2007, pp. 412-423.
  • A. L. Shimpi and R. Smith. (2012, Apr. 23) The Intel Ivy Bridge (Core i7 3770K) Review [Online]. Available: http://www.anandtech.com/show/5771/the-intel-ivy-bridge-core-i7-3770k-review/3
  • A. Bakhoda, G. L. Yuan, W. W. L. Fung, H. Wong and T. M. Aamodt, “Analyzing CUDA workloads using a detailed GPU simulator,” in Proc. IEEE Int. Symp. Perform. Anal. Syst. Softw., 2009, pp. 163-174.