박사

Optimized built-in redundancy analysis for single memory and multiple memories

강우헌 2015년
논문상세정보
' Optimized built-in redundancy analysis for single memory and multiple memories' 의 주제별 논문영향력
논문영향력 선정 방법
논문영향력 요약
주제
  • address mapping table (amt)
  • built-in redundancy analysis (bira)
  • hardware overhead
  • repair rate
동일주제 총논문수 논문피인용 총횟수 주제별 논문영향력의 평균
14 0

0.0%

' Optimized built-in redundancy analysis for single memory and multiple memories' 의 참고문헌

  • Y. Zorian, Embedded memory test & repair: Infrastructure IP for SOC yield, Proceedings of the International Test Conference, Oct. 2002. pp. 340-349.
  • Y. Zorian and S. Shoukourian, Embedded-memory test and repair: InfrastructureIP for SoC yield, IEEE Design and Test of Computers, vol. 20, no. 3, pp. 58-66,May-Jun. 2003.
  • W. R. Davis, J. Wilson, S. Mick, J. Xu, H. Hao, C. Mineo, A. M. Sule, M. Steer,and P. D. Franzon, Demystifying 3-D ICs: The pros and cons of going vertical, IEEE Design and Test of Computers, vol. 22, no. 6, pp. 498-510, Nov.-Dec. 2005.
  • W. Kang, H. Cho, J. Lee, and S. Kang, A BIRA for memories with an optimalrepair rate using spare memories for area reduction, IEEE Transactions on VeryLarge Scale Integration (VLSI) Systems, vol. 22, no. 11, pp. 2336-2349, Nov. 2014.
  • W. Kang, C. Lee, K. Cho, and S. Kang, A die selection and matching methodwith two stages for yield enhancement of 3-D memories, Proceedings of the 22ndAsian Test Symposium, Nov. 2013, pp. 301-306.
  • W. Kang, C. Lee, H. Lim, and S. Kang, A 3 dimensional built-in self-repairscheme for yield improvement of 3 dimensional memories, IEEE Transactionson Reliability, vol. PP, no. 99, pp. 1-10, Mar. 2015.
  • W. K. Huang, Y.-N. Shen, and F. Lombardi, New approaches for the repairs ofmemories with redundancy by row/column deletion for yield enhancement, IEEETransactions on Computer-Aided Design, vol. 9, no. 3, pp. 323-328, Mar. 1990.
  • W. Jeong, J. Lee, T. Han, K. Lee, and S. Kang, An advanced BIRA for memorieswith an optimal repair rate and fast analysis speed using a branch analyzer, IEEETransactions on Computer-Aided Design of Integrated Circuits and Systems, vol.29, no. 12, pp. 2014-2026, Dec. 2010.
  • W. Jeong, I. Kang, K. Jin, and S. Kang, A fast built-in redundancy analysis formemories with optimal repair rate using a line-based search tree, IEEETransactions on Very Large Scale Integration (VLSI) Systems, vol. 17, no. 12, pp.1665-1678, Dec. 2009.
  • V. F. Pavlidis and E. G. Friedman, Interconnect-based design methodologies forthree-dimensional integrated circuits, Proceedings of the IEEE, vol. 97, no. 1, pp.123-140, Jan. 2009.
  • U. Kang, H.-J. Chung, S. Heo, D.-H. Park, H. Lee, J. H. Kim, S.-H. Ahn, S.-H.Cha, J. Ahn, D. Kwon, J.-W. Lee, H.-S. Joo, W.-S. Kim, D. H. Jang, N. S. Kim, J.-H. Choi, T.-G. Chung, J.-H. Yoo, J. S. Choi, C. Kim, and Y.-H. Jun, 8Gb 3-DDDRs DRAM using through-silicon-via technology, IEEE Journal of Solid-StateCircuits, vol. 45, no. 1, pp. 111-119, Jan. 2010.
  • T.-W. Tseng, J.-F. Li, and C.-S. Hou, A built-in method to repair SoC RAMs inParallel, IEEE Design and Test of Computers, vol. 27, no. 6, pp. 46-57, Nov.-Dec.2010.
  • T.-W. Tseng, J.-F. Li, and C.-C. Hsu, ReBISR: A reconfigurable built-in selfrepairscheme for random access memories in SOCs, IEEE Transactions on VeryLarge Scale Integration (VLSI) Systems, vol. 18, no. 6, pp. 921-932, Jun. 2010.
  • T. Kawagoe, J. Ohtani, M. Niiro, T. Ooishi, M. Hamada, and H. Hidaka, A builtinself repair analyzer (CRESTA) for embedded DRAMs, Proceedings of theInternational Test Conference, Oct. 2000, pp. 567-574.
  • Semico Research Corporation, ASIC IP report 2007.
  • S.-Y. Kuo and K. F. Fuchs, 1987. Efficient spare allocation for reconfigurablearrays, IEEE Design & Test of Computers, vol. 4, no. 1, pp. 24-31, Feb. 1987.
  • S.-K. Lu, Z.-Y. Wang, Y.-M. Tsai, and J.-L. Chen, Efficient built-in self-repairtechniques for multiple repairable embedded RAMs, IEEE Transactions onComputer-Aided Design of Integrated Circuits and Systems, vol. 31, no. 4, pp.620-629, Apr. 2012.97
  • S. Reda, G. Smith, and L. Smith, Maximizing the functional yield of wafer-towafer3-D integration, IEEE Transactions on Very Large Scale Integration (VLSI)Systems, vol. 17, no. 9, pp. 1357-1362. Sep. 2009.
  • S. Boutobza, M. Nicolaidis, K. L. Lamara, and A. Costa, Programmablememory BIST, Proceedings of the International Test Conference. Nov. 2005,1155-1164.
  • R.-F. Huang, J.-F. Li, J.-C. Yeh, and C.-W. Wu, Rainsin: Redundancy analysisalgorithm simulation, IEEE Design and Test of Computers, vol. 24, no. 4, pp.386-396, Jul.-Aug. 2007.
  • R.-F. Huang, J.-F. Li, J.-C. Yeh, and C.-W. Wu, A simulator for evaluatingredundancy analysis algorithm of repairable embedded memories, Proceedingsof the Eighth IEEE International On-Line Testing Workshop, Jul. 2002, pp. 262-267.
  • R. W. Haddad, A. T. Dahbura, and A. B. Sharma, Increased throughput for thetesting and repair of RAMs with redundancy, IEEE Transactions on Computers,vol. 40, no. 2, pp. 154-166, Feb. 1991.
  • R. McConnell and R. Rajsuman, Test and repair of large embedded DRAMs. I, Proceeding of the International Test Conference, Oct.-Nov. 2001, pp. 163-172.
  • P. Ohler, S. Hellebrand, and H.-J. Wunderlich, An integrated built-in test andrepair approach for memories with 2-D redundancy, Proceedings of the IEEEEuropean Test Symposium, May 2007, pp. 91-96.
  • M. Tarr, D. Boundreau, and R. Murphy, Defect analysis system speeds test andrepair of redundant memories, Electronics, vol. 57, no. 1, pp. 175-179, Jan. 1984.
  • M. Miyazaki, T. Yoneda, and H. Fujiwara, A memory grouping method for96sharing memory BIST logic, Proceedings of the Asia and South PacificConference on Design Automation, Jan. 2006, pp. 24-27.
  • K. Pagiamtzis and A. Sheikholeslami, Content-addressable memory (CAM)circuits and architecture: A tutorial and survey, IEEE Journal of Solid-StateCircuits, vol. 41, no.3, pp. 712-727, Mar. 2006.
  • J. U. Knickerbocker, C. S. Patel, P. S. Andry, C. K. Tsang, L. P. Buchwalter, E. J.Sprogis, G. Hua, R. R. Horton, R. J. Polastre, S. L. Wright, and J. M. Cotte, 3-Dsilicon integration and silicon packaging technology using silicon through-vias, IEEE Journal of Solid-State Circuits, vol. 41, no. 8, pp. 1718-1728, Aug. 2006.
  • J. R. Day, A fault-driven, comprehensive redundancy algorithm, IEEE Designand Test of Computers, vol. 2, no. 3, pp. 35-44, Jun. 1985.
  • International Technology Roadmap for Semiconductors (ITRS), 2011.Semiconductor Industry Association, San Jose, CA.
  • International Technology Roadmap for Semiconductors (ITRS), 2007.Semiconductor Industry Association, San Jose, CA.
  • I. Kim, Y. Zorian, G. Komoriya, H. Pham, F. P. Higgins, and J. L. Lewandowski, Built in self repair for embedded high density SRAM. Proceedings of theInternational Test Conference, Oct. 1998, pp. 1112?1119.
  • I. Kang, W. Jeong, and S. Kang, High-efficiency memory BISR with two serialRA stages using spare memories, Electronics Letters, vol. 44, no. 8, pp. 515-517,Apr. 2008.
  • I. Bayraktaroglu, O. Caty, and Y. Wong, Highly configurable programmablebuilt-in self test architecture for high-speed memories, Proceedings of the 23rdIEEE VLSI Test Symposium, May 2005, pp. 21-26.
  • H.-Y. Lin, F.-M. Yeh, and S.-Y. Kuo, An efficient algorithm for spare allocation99problems, IEEE Transactions on Reliability, vol. 55, no. 2, pp. 369-378, Jun. 2006.
  • H. Ito and T. Namekawa, Pure CMOS one-time programmable memory usinggate-ox anti-fuse, Proceedings of the IEEE 2004 Custom Integrated CircuitsConference, Oct. 2004, pp. 469-472.
  • H. Cho, W. Kang, and S. Kang, A Very Efficient Redundancy Analysis MethodUsing Fault Grouping, ETRI Journal, vol. 35, no. 3, pp. 439-477, May 2013.
  • H. Cho, W. Kang, and S. Kang, A Fast Redundancy Analysis Algorithm in ATE94for Repairing Faulty Memories, ETRI Journal, vol. 34, no. 3, pp. 474-477, Jun.2012.
  • E. Nelson, J. Dreibelbis, and R. McConnell, Test and repair of large embeddedDRAMs. 2, Proceeding of the International Test Conference, Oct.-Nov. 2001, pp.173-181.
  • D. K. Bhavsar, 1999. An algorithm for row-column self-repair of RAMs and itsimplementation in the Alpha 21264, Proceedings of the International TestConference. Sep. 1999, pp. 311-318.
  • C.H. Stapper, On a composite model to the IC yield problem, IEEE Journal ofSolid-State Circuits, vol. 10, no. 6, pp. 537-539, Dec. 1975.
  • C.?L. Wey and F. Lombardi, On the repair of redundant RAM ?s, IEEETransactions on Computer-Aided Design of Integrated Circuits and Systems,vol.69, no. 2, pp. 222-231, Mar. 1987.
  • C.-W. Wu, S.-K. Lu, and J.-F. Li, On test and repair of 3-D random accessmemory, Proceedings of the 2012 17th Asia and South Pacific DesignAutomation Conference (ASP-DAC), Jan. 2012, pp. 744-749.
  • C.-W. Chou, Y.-J. Huang, and J.-F. Li, A built-in self-repair scheme for 3-DRAMs with interdie redundancy, IEEE Transactions on Computer-Aided Design98Integration Circuits Systems, vol. 32, no, 4, pp. 572-583, Apr. 2013.
  • C.-T. Huang, J.-R. Huang, C.-F. Wu, C.-W. Wu, and T.-Y. Chang, 1999. Aprogrammable core BIST for embedded DRAM, IEEE Design and Test ofComputers, vol. 16, no. 1, pp. 59-70, Jan.-Mar. 1999.
  • C.-T. Huang, C.-F. Wu, J.-F. Li, and C. -W. Wu, Built-in redundancy analysis95for memory yield improvement, IEEE Transactions on Reliability, vol. 52, no. 4,pp. 386-399, Dec. 2003.
  • C.-S. Hou and J.-F. Li, High repair-efficiency BISR scheme for RAMs byreusing bitmap for bit redundancy, IEEE Transactions on Very Large ScaleIntegration (VLSI) Systems, vol. PP, no. 99, pp. 1-9, Sep. 2014.
  • C.-D. Huang, T.-W. Tseng, and J.-F. Li, An infrastructure IP for repairingmultiple RAMs in SOCs, Proceedings of the 2006 International Symposium onVLSI Design, Automation and Test, Apr. 2006, pp. 1-4.
  • C.-D. Huang, J.-F. Li, and T.-W. Tseng, ProTaR: An infrastructure IP forrepairing RAMs in system-on-chips, IEEE Transactions on Very Large ScaleIntegration (VLSI) Systems, vol. 15, no. 10, pp. 1135-1143, Oct. 2007.
  • C.-C. Chi, Y.-F. Chou, D.-M. Kwai, Y.-Y. Hsiao, C.-W. Wu, Y.-T. Hsing, L.-M.Denq, and T.-H. Lin, 3-D-IC BISR for stacked memories using cross-die spares, Proceedings of the 2012 International Symposium on VLSI Design, Automation,and Test (VLSI-DAT), Apr. 2012, pp. 23-25.
  • C. Lee, W. Kang, D. Cho, and S. Kang, A new fuse architecture and new postshareredundancy scheme for yield enhancement in 3-D-stacked memories, IEEETransactions on Computer-Aided Design Integration Circuits Systems, vol. 33, no.5, pp. 786-797, May 2014.
  • C. Kothandaraman, S. K. Iyer, and S. S. Iyer, Electrically programmable fuse(eFUSE) using electromigration in silicides, IEEE Electron Device Letters, vol.23, no. 9, pp. 523?525, Sep. 2002.
  • C. H. Stapper, A. N. McLaren, and M. Dreckmann, Yield model for productivityoptimization of VLSI memory chips with redundancy and partially good product, 100IBM Journal Research and Development, vol. 24, no. 3, May 1980, pp. 398-409.
  • C. H. Stapper and R. J. Rosner, Integrated circuits yield management and yieldanalysis: Development and implementation, IEEE Transactions onSemiconductor Manufacturing, vol. 8, no. 2, pp. 95-102, May, 1995.
  • B.-Y. Lin, W.-T. Chiang, C.-W. Wu, M. Lee, H.-C. Lin, C.-N. Peng, and M.-J.Wang, Redundancy architecture for channel-based 3D DRAM yieldimprovement, Proceedings of the 2014 IEEE International Test Conference (ITC),Oct. 2014, pp. 1-7.